Subversion Repositories pentevo

Rev

Rev 278 | Details | Compare with Previous | Last modification | View Log | RSS feed

Rev Author Line No. Line
278 lvd 1
// Copyright (C) 1991-2006 Altera Corporation
2
// Your use of Altera Corporation's design tools, logic functions 
3
// and other software and tools, and its AMPP partner logic 
4
// functions, and any output files from any of the foregoing 
5
// (including device programming or simulation files), and any 
6
// associated documentation or information are expressly subject 
7
// to the terms and conditions of the Altera Program License 
8
// Subscription Agreement, Altera MegaCore Function License 
9
// Agreement, or other applicable license agreement, including, 
10
// without limitation, that your use is for the sole purpose of 
11
// programming logic devices manufactured by Altera and sold by 
12
// Altera or its authorized distributors.  Please refer to the 
13
// applicable agreement for further details.
14
 
15
// VENDOR "Altera"
16
// PROGRAM "Quartus II"
17
// VERSION "Version 6.1 Build 201 11/27/2006 SJ Full Version"
18
 
19
// DATE "11/05/2010 20:17:05"
20
 
21
// 
22
// Device: Altera EP1K50QC208-3 Package PQFP208
23
// 
24
 
25
// 
26
// This Verilog file should be used for ModelSim (Verilog) only
27
// 
28
 
29
`timescale 1 ps/ 1 ps
30
 
31
module top (
32
	fclk,
33
	clkz_out,
34
	clkz_in,
35
	iorq_n,
36
	mreq_n,
37
	rd_n,
38
	wr_n,
39
	m1_n,
40
	rfsh_n,
41
	int_n,
42
	nmi_n,
43
	wait_n,
44
	res,
45
	d,
46
	a,
47
	csrom,
48
	romoe_n,
49
	romwe_n,
50
	rompg0_n,
51
	dos_n,
52
	rompg2,
53
	rompg3,
54
	rompg4,
55
	iorqge1,
56
	iorqge2,
57
	iorq1_n,
58
	iorq2_n,
59
	rd,
60
	ra,
61
	rwe_n,
62
	rucas_n,
63
	rlcas_n,
64
	rras0_n,
65
	rras1_n,
66
	vred,
67
	vgrn,
68
	vblu,
69
	vhsync,
70
	vvsync,
71
	vcsync,
72
	ay_clk,
73
	ay_bdir,
74
	ay_bc1,
75
	beep,
76
	ide_a,
77
	ide_d,
78
	ide_dir,
79
	ide_rdy,
80
	ide_cs0_n,
81
	ide_cs1_n,
82
	ide_rs_n,
83
	ide_rd_n,
84
	ide_wr_n,
85
	vg_clk,
86
	vg_cs_n,
87
	vg_res_n,
88
	vg_hrdy,
89
	vg_rclk,
90
	vg_rawr,
91
	vg_a,
92
	vg_wrd,
93
	vg_side,
94
	step,
95
	vg_sl,
96
	vg_sr,
97
	vg_tr43,
98
	rdat_b_n,
99
	vg_wf_de,
100
	vg_drq,
101
	vg_irq,
102
	vg_wd,
103
	sdcs_n,
104
	sddo,
105
	sdclk,
106
	sddi,
107
	spics_n,
108
	spick,
109
	spido,
110
	spidi,
111
	spiint_n);
112
input 	fclk;
113
output 	clkz_out;
114
input 	clkz_in;
115
input 	iorq_n;
116
input 	mreq_n;
117
input 	rd_n;
118
input 	wr_n;
119
input 	m1_n;
120
input 	rfsh_n;
121
output 	int_n;
122
output 	nmi_n;
123
output 	wait_n;
124
output 	res;
125
inout 	[7:0] d;
126
input 	[15:0] a;
127
output 	csrom;
128
output 	romoe_n;
129
output 	romwe_n;
130
output 	rompg0_n;
131
output 	dos_n;
132
output 	rompg2;
133
output 	rompg3;
134
output 	rompg4;
135
input 	iorqge1;
136
input 	iorqge2;
137
output 	iorq1_n;
138
output 	iorq2_n;
139
inout 	[15:0] rd;
140
output 	[9:0] ra;
141
output 	rwe_n;
142
output 	rucas_n;
143
output 	rlcas_n;
144
output 	rras0_n;
145
output 	rras1_n;
146
output 	[1:0] vred;
147
output 	[1:0] vgrn;
148
output 	[1:0] vblu;
149
output 	vhsync;
150
output 	vvsync;
151
output 	vcsync;
152
output 	ay_clk;
153
output 	ay_bdir;
154
output 	ay_bc1;
155
output 	beep;
156
output 	[2:0] ide_a;
157
inout 	[15:0] ide_d;
158
output 	ide_dir;
159
input 	ide_rdy;
160
output 	ide_cs0_n;
161
output 	ide_cs1_n;
162
output 	ide_rs_n;
163
output 	ide_rd_n;
164
output 	ide_wr_n;
165
output 	vg_clk;
166
output 	vg_cs_n;
167
output 	vg_res_n;
168
output 	vg_hrdy;
169
output 	vg_rclk;
170
output 	vg_rawr;
171
output 	[1:0] vg_a;
172
output 	vg_wrd;
173
output 	vg_side;
174
input 	step;
175
input 	vg_sl;
176
input 	vg_sr;
177
input 	vg_tr43;
178
input 	rdat_b_n;
179
input 	vg_wf_de;
180
input 	vg_drq;
181
input 	vg_irq;
182
input 	vg_wd;
183
output 	sdcs_n;
184
output 	sddo;
185
output 	sdclk;
186
input 	sddi;
187
input 	spics_n;
188
input 	spick;
189
input 	spido;
190
output 	spidi;
191
output 	spiint_n;
192
 
193
wire gnd = 1'b0;
194
wire vcc = 1'b1;
195
 
196
tri1 devclrn;
197
tri1 devpor;
198
tri1 devoe;
199
// synopsys translate_off
200
initial $sdf_annotate("top_v.sdo");
201
// synopsys translate_on
202
 
203
wire \zclock|zclk_out ;
204
wire \preryv|int_n ;
205
wire \myrst|rst_out_n ;
206
wire \instantiate_atm_pagers[1].atm_pager|romnram ;
207
wire \instantiate_atm_pagers[2].atm_pager|romnram ;
208
wire \instantiate_atm_pagers[0].atm_pager|romnram ;
209
wire \instantiate_atm_pagers[3].atm_pager|romnram ;
210
wire \z80mem|Mux8~3 ;
211
wire \z80mem|romoe_n ;
212
wire \zports|romrw_en_reg ;
213
wire \z80mem|romwe_n~15 ;
214
wire \z80mem|Mux7~3 ;
215
wire \z80mem|Mux6~3 ;
216
wire \z80mem|Mux5~10 ;
217
wire \z80mem|Mux4~3 ;
218
wire \z80mem|Mux3~3 ;
219
wire \zdos|dos ;
220
wire \zports|always0~81 ;
221
wire \zports|porthit~584 ;
222
wire \zxbus|iorq1_n ;
223
wire \zxbus|iorq2_n ;
224
wire \dram|rwe_n~COMB ;
225
wire \dram|rucas_n~COMB ;
226
wire \dram|rlcas_n~COMB ;
227
wire \dram|rras0_n~COMB ;
228
wire \dram|rras1_n~COMB ;
229
wire \vidia|vred[0]~COMB ;
230
wire \vidia|vred[1]~COMB ;
231
wire \vidia|vgrn[0]~COMB ;
232
wire \vidia|vgrn[1]~COMB ;
233
wire \vidia|vblu[0]~COMB ;
234
wire \vidia|vblu[1]~COMB ;
235
wire \vidia|vhsync ;
236
wire \vidia|vvsync ;
237
wire \vidia|vcsync ;
238
wire \zports|ay_bdir ;
239
wire \zports|ay_bc1~38 ;
240
wire \zports|beep ;
241
wire \zports|ide_rd_n~64 ;
242
wire \zports|ide_cs0_n ;
243
wire \zports|ide_cs1_n ;
244
wire \zports|ide_wr_n~73 ;
245
wire \zports|vg_cs_n ;
246
wire \vgshka|vg_res_n ;
247
wire \vgshka|vg_hrdy ;
248
wire \vgshka|vg_rclk ;
249
wire \vgshka|vg_rawr ;
250
wire \vgshka|vg_wrd ;
251
wire \vgshka|vg_side ;
252
wire \zports|sdcs_n ;
253
wire \zwait|WideNor0 ;
254
wire \zclock|zneg ;
255
wire \zclock|zpos ;
256
wire \slavespi|genrst ;
257
wire \zports|atm_pen ;
258
wire \instantiate_atm_pagers[2].atm_pager|always0~47 ;
259
wire \instantiate_atm_pagers[1].atm_pager|always0~47 ;
260
wire \WideOr0~82 ;
261
wire \zports|atm_cpm_n ;
262
wire \z80mem|cpu_rnw~38 ;
263
wire \z80mem|cpu_req ;
264
wire \dramarb|Equal2~38 ;
265
wire \dramarb|next_cycle[0]~256 ;
266
wire \dram|Selector1~73 ;
267
wire \fecher|go ;
268
wire \dramarb|next_cycle[1]~258 ;
269
wire \vert_sync|vpix ;
270
wire \horiz_sync|hpix ;
271
wire \vert_sync|vblank ;
272
wire \horiz_sync|hblank ;
273
wire \fecher|Mux10~30 ;
274
wire \fecher|zxcolor[3]~196 ;
275
wire \fecher|Mux15~30 ;
276
wire \fecher|zxcolor~199 ;
277
wire \fecher|zxcolor[1]~200 ;
278
wire \fecher|Mux14~30 ;
279
wire \fecher|zxcolor~202 ;
280
wire \fecher|zxcolor[2]~203 ;
281
wire \fecher|Mux16~30 ;
282
wire \fecher|zxcolor~205 ;
283
wire \fecher|zxcolor[0]~206 ;
284
wire \vga_synch|vga_hsync ;
285
wire \horiz_sync|hsync ;
286
wire \vert_sync|vsync ;
287
wire \zports|port_wr ;
288
wire \zports|vg_wrFF ;
289
wire \zports|sd_start ;
290
wire \slavespi|sel_waitreg~8 ;
291
wire \zports|wait_start_comport~22 ;
292
wire \zports|wait_start_gluclock~58 ;
293
wire \dram|cbeg ;
294
wire \dramarb|pre_cend ;
295
wire \WideOr0~83 ;
296
wire WideOr0;
297
wire \zports|atmF7_wr_fclk ;
298
wire \instantiate_atm_pagers[0].atm_pager|dos_7ffd[0]~81 ;
299
wire \instantiate_atm_pagers[0].atm_pager|dos_turn_off~58 ;
300
wire \instantiate_atm_pagers[0].atm_pager|dos_exec_stb~122 ;
301
wire \zports|pre_bc1~13 ;
302
wire \instantiate_atm_pagers[3].atm_pager|dos_exec_stb~67 ;
303
wire \instantiate_atm_pagers[1].atm_pager|ramnrom[0]~66 ;
304
wire \instantiate_atm_pagers[1].atm_pager|dos_exec_stb~67 ;
305
wire \instantiate_atm_pagers[2].atm_pager|ramnrom[0]~66 ;
306
wire \instantiate_atm_pagers[2].atm_pager|dos_exec_stb~67 ;
307
wire \instantiate_atm_pagers[0].atm_pager|dos_exec_stb~123 ;
308
wire \vert_sync|int_start ;
309
wire \zports|Selector7~1858 ;
310
wire \zports|Selector2~1452 ;
311
wire \zports|Selector1~1384 ;
312
wire \zports|Selector0~1454 ;
313
wire \zports|ideout[0]~64 ;
314
wire \zports|ideout[1]~65 ;
315
wire \zports|ideout[2]~66 ;
316
wire \zports|ideout[3]~67 ;
317
wire \zports|ideout[4]~68 ;
318
wire \zports|ideout[5]~69 ;
319
wire \zports|ideout[6]~70 ;
320
wire \zports|ideout[7]~71 ;
321
wire \zports|ideout[8]~72 ;
322
wire \zports|ideout[9]~73 ;
323
wire \zports|ideout[10]~74 ;
324
wire \zports|ideout[11]~75 ;
325
wire \zports|ideout[12]~76 ;
326
wire \zports|ideout[13]~77 ;
327
wire \zports|ideout[14]~78 ;
328
wire \zports|ideout[15]~79 ;
329
wire \instantiate_atm_pagers[3].atm_pager|dos_turn_off~39 ;
330
wire \instantiate_atm_pagers[0].atm_pager|dos_turn_off~59 ;
331
wire \dramarb|video_next ;
332
wire \horiz_sync|line_start ;
333
wire \dramarb|next_cycle[0]~259 ;
334
wire \dramarb|dram_addr[11]~2017 ;
335
wire \dramarb|dram_addr[12]~2018 ;
336
wire \dramarb|dram_addr[13]~2019 ;
337
wire \z80mem|Mux2~3 ;
338
wire \dramarb|dram_addr[9]~2026 ;
339
wire \z80mem|Mux1~3 ;
340
wire \dramarb|dram_addr[10]~2027 ;
341
wire \z80mem|Mux0~3 ;
342
wire \dramarb|cend ;
343
wire \vga_synch|scanout_start ;
344
wire \horiz_sync|hsync_start ;
345
wire \dramarb|video_strobe ;
346
wire \horiz_sync|hint_start ;
347
wire \dramarb|cpu_strobe ;
348
wire \slavespi|mus_ystb ;
349
wire \slavespi|mus_xstb ;
350
wire \slavespi|mus_btnstb~12 ;
351
wire \dramarb|dram_addr[7]~2032 ;
352
wire \dramarb|dram_addr[8]~2033 ;
353
wire \zports|Selector0~1469 ;
354
wire \zports|dataout~113 ;
355
wire \zports|Selector7~1898 ;
356
wire \zports|Selector7~1899 ;
357
wire \zports|Selector6~1408 ;
358
wire \zports|Selector6~1409 ;
359
wire \zports|Selector5~1408 ;
360
wire \zports|Selector5~1409 ;
361
wire \zports|Selector4~1408 ;
362
wire \zports|Selector4~1409 ;
363
wire \zports|Selector3~1408 ;
364
wire \zports|Selector3~1409 ;
365
wire \zports|Selector2~1468 ;
366
wire \zports|Selector1~1400 ;
367
wire \zports|Selector0~1470 ;
368
wire \slavespi|kj_stb~12 ;
369
wire \slavespi|kbd_stb~30 ;
370
wire \zports|wait_rnw ;
371
wire \zports|Selector6~1407 ;
372
wire \zports|Selector5~1407 ;
373
wire \zports|Selector4~1407 ;
374
wire \zports|Selector3~1407 ;
375
wire \zports|Selector2~1467 ;
376
wire \zports|Selector1~1399 ;
377
wire \mreq_n~dataout ;
378
wire \wr_n~dataout ;
379
wire \iorqge1~dataout ;
380
wire \clkz_in~dataout ;
381
wire \rfsh_n~dataout ;
382
wire \spics_n~dataout ;
383
wire \spick~dataout ;
384
wire \spido~dataout ;
385
wire \step~dataout ;
386
wire \vg_drq~dataout ;
387
wire \vg_wd~dataout ;
388
wire \vg_irq~dataout ;
389
wire \rdat_b_n~dataout ;
390
wire \vg_sr~dataout ;
391
wire \vg_sl~dataout ;
392
wire \vg_tr43~dataout ;
393
wire \sddi~dataout ;
394
wire \horiz_sync|line_start~_wirecell ;
395
wire \vert_sync|int_start~_wirecell ;
396
wire \zports|sd_start~_wirecell ;
397
wire \myrst|rst_out_n~9 ;
398
wire \zports|ide_rd_n~66 ;
399
wire \zwait|WideNor0~1 ;
400
wire \dram|int_wrdata[0]~24 ;
401
wire \dram|int_wrdata[1]~25 ;
402
wire \dram|int_wrdata[2]~26 ;
403
wire \dram|int_wrdata[3]~27 ;
404
wire \dram|int_wrdata[4]~28 ;
405
wire \dram|int_wrdata[5]~29 ;
406
wire \dram|int_wrdata[6]~30 ;
407
wire \dram|int_wrdata[7]~31 ;
408
wire \dram|rwe_n ;
409
wire \dram|rucas_n ;
410
wire \dram|rlcas_n ;
411
wire \dram|rras0_n ;
412
wire \dram|rras1_n ;
413
wire \d[0]~7 ;
414
wire \d[1]~6 ;
415
wire \d[2]~5 ;
416
wire \d[3]~4 ;
417
wire \d[4]~3 ;
418
wire \d[5]~2 ;
419
wire \d[6]~1 ;
420
wire \d[7]~0 ;
421
wire \rd[0]~15 ;
422
wire \rd[1]~14 ;
423
wire \rd[2]~13 ;
424
wire \rd[3]~12 ;
425
wire \rd[4]~11 ;
426
wire \rd[5]~10 ;
427
wire \rd[6]~9 ;
428
wire \rd[7]~8 ;
429
wire \rd[8]~7 ;
430
wire \rd[9]~6 ;
431
wire \rd[10]~5 ;
432
wire \rd[11]~4 ;
433
wire \rd[12]~3 ;
434
wire \rd[13]~2 ;
435
wire \rd[14]~1 ;
436
wire \rd[15]~0 ;
437
wire \ide_d[0]~15 ;
438
wire \ide_d[1]~14 ;
439
wire \ide_d[2]~13 ;
440
wire \ide_d[3]~12 ;
441
wire \ide_d[4]~11 ;
442
wire \ide_d[5]~10 ;
443
wire \ide_d[6]~9 ;
444
wire \ide_d[7]~8 ;
445
wire \ide_d[8]~7 ;
446
wire \ide_d[9]~6 ;
447
wire \ide_d[10]~5 ;
448
wire \ide_d[11]~4 ;
449
wire \ide_d[12]~3 ;
450
wire \ide_d[13]~2 ;
451
wire \ide_d[14]~1 ;
452
wire \ide_d[15]~0 ;
453
wire \fclk~dataout ;
454
wire \ayclk_gen_rtl_0|wysi_counter|counter_cell[0]~COUT ;
455
wire \ayclk_gen_rtl_0|wysi_counter|counter_cell[1]~COUT ;
456
wire \ayclk_gen_rtl_0|wysi_counter|counter_cell[2]~COUT ;
457
wire \ide_a[0]~0 ;
458
wire \ide_a[1]~1 ;
459
wire \ide_a[2]~2 ;
460
wire \ide_dir~0 ;
461
wire \d~4437 ;
462
wire \d~4436 ;
463
wire \d~4438 ;
464
wire \m1_n~dataout ;
465
wire \iorq_n~dataout ;
466
wire \m1_n~9 ;
467
wire \iorqge2~dataout ;
468
wire \rd_n~dataout ;
469
wire \iorqge2~17 ;
470
wire \d~25 ;
471
wire \d~4440 ;
472
wire \d~4439 ;
473
wire \d~4441 ;
474
wire \d~4443 ;
475
wire \d~4442 ;
476
wire \d~4444 ;
477
wire \d~4446 ;
478
wire \d~4445 ;
479
wire \d~4447 ;
480
wire \d~4449 ;
481
wire \d~4448 ;
482
wire \d~4450 ;
483
wire \d~4452 ;
484
wire \d~4451 ;
485
wire \d~4453 ;
486
wire \d~4455 ;
487
wire \d~4454 ;
488
wire \d~4456 ;
489
wire \d~4458 ;
490
wire \d~4457 ;
491
wire \d~4459 ;
492
wire [7:0] \zspi|dout ;
493
wire [7:0] \zspi|shiftout ;
494
wire [1:0] \vgshka|drq_sync ;
495
wire [1:0] \vgshka|intrq_sync ;
496
wire [1:0] \vgshka|vg_a ;
497
wire [1:0] \vgshka|vgclk_div4 ;
498
wire [6:0] \zwait|waits ;
499
wire [2:0] \zports|border ;
500
wire [2:0] \zports|comport_addr ;
501
wire [7:0] \zports|gluclock_addr ;
502
wire [7:0] \zports|p7ffd_int ;
503
wire [7:0] \zports|peff7_int ;
504
wire [2:0] \zports|sd_stgl ;
505
wire [7:0] \zports|wait_write ;
506
wire [39:0] \zkbdmus|kbd ;
507
wire [4:0] \zkbdmus|kj_data ;
508
wire [7:0] \zkbdmus|musbtn ;
509
wire [7:0] \zkbdmus|musx ;
510
wire [7:0] \zkbdmus|musy ;
511
wire [7:0] \slavespi|cfg0_reg_out ;
512
wire [39:0] \slavespi|kbd_reg ;
513
wire [7:0] \slavespi|mouse_buf ;
514
wire [7:0] \slavespi|shift_out ;
515
wire [2:0] \slavespi|spics_n_sync ;
516
wire [7:0] \slavespi|wait_reg ;
517
wire [1:0] \fecher|dcnt ;
518
wire [15:0] \dram|int_wrdata ;
519
wire [9:0] \dram|ra ;
520
wire [15:0] \dram|rddata ;
521
wire [7:0] \z80mem|zd_out ;
522
wire [7:0] \instantiate_atm_pagers[3].atm_pager|page ;
523
wire [2:0] \instantiate_atm_pagers[3].atm_pager|stall_count ;
524
wire [7:0] \instantiate_atm_pagers[2].atm_pager|page ;
525
wire [2:0] \instantiate_atm_pagers[2].atm_pager|stall_count ;
526
wire [7:0] \instantiate_atm_pagers[1].atm_pager|page ;
527
wire [2:0] \instantiate_atm_pagers[1].atm_pager|stall_count ;
528
wire [7:0] \instantiate_atm_pagers[0].atm_pager|page ;
529
wire [2:0] \instantiate_atm_pagers[0].atm_pager|stall_count ;
530
wire [15:0] \a~dataout ;
531
wire [3:0] \fecher|hcnt_rtl_11|wysi_counter|q ;
532
wire [7:0] \fecher|vcnt_rtl_10|wysi_counter|q ;
533
wire [4:0] \zspi|counter_rtl_1|wysi_counter|q ;
534
wire [3:0] \ayclk_gen_rtl_0|wysi_counter|q ;
535
 
536
 
537
resetter myrst(
538
	.rst_out_n(\myrst|rst_out_n ),
539
	.rst_in_n(\slavespi|genrst ),
540
	.clk(\fclk~dataout ),
541
	.rst_out_n1(\myrst|rst_out_n~9 ),
542
	.devpor(devpor),
543
	.devclrn(devclrn),
544
	.devoe(devoe));
545
 
546
zclock zclock_1(
547
	.zclk_out(\zclock|zclk_out ),
548
	.zneg(\zclock|zneg ),
549
	.zpos(\zclock|zpos ),
550
	.cbeg(\dram|cbeg ),
551
	.pre_cend(\dramarb|pre_cend ),
552
	.WideOr0(WideOr0),
553
	.peff7_int_4(\zports|peff7_int [4]),
554
	.fclk(\fclk~dataout ),
555
	.rfsh_n(\rfsh_n~dataout ),
556
	.devpor(devpor),
557
	.devclrn(devclrn),
558
	.devoe(devoe));
559
 
560
zbus zxbus(
561
	.porthit(\zports|porthit~584 ),
562
	.iorq1_n(\zxbus|iorq1_n ),
563
	.iorq2_n(\zxbus|iorq2_n ),
564
	.iorq_n(\iorq_n~dataout ),
565
	.iorqge1(\iorqge1~dataout ),
566
	.devpor(devpor),
567
	.devclrn(devclrn),
568
	.devoe(devoe));
569
 
570
atm_pager \instantiate_atm_pagers[0].atm_pager (
571
	.romnram(\instantiate_atm_pagers[0].atm_pager|romnram ),
572
	.page_0(\instantiate_atm_pagers[0].atm_pager|page [0]),
573
	.page_1(\instantiate_atm_pagers[0].atm_pager|page [1]),
574
	.page_2(\instantiate_atm_pagers[0].atm_pager|page [2]),
575
	.page_3(\instantiate_atm_pagers[0].atm_pager|page [3]),
576
	.page_4(\instantiate_atm_pagers[0].atm_pager|page [4]),
577
	.dos(\zdos|dos ),
578
	.zneg(\zclock|zneg ),
579
	.zpos(\zclock|zpos ),
580
	.p7ffd_int_4(\zports|p7ffd_int [4]),
581
	.atm_pen(\zports|atm_pen ),
582
	.peff7_int_3(\zports|peff7_int [3]),
583
	.p7ffd_int_0(\zports|p7ffd_int [0]),
584
	.p7ffd_int_1(\zports|p7ffd_int [1]),
585
	.p7ffd_int_2(\zports|p7ffd_int [2]),
586
	.p7ffd_int_5(\zports|p7ffd_int [5]),
587
	.peff7_int_2(\zports|peff7_int [2]),
588
	.p7ffd_int_6(\zports|p7ffd_int [6]),
589
	.stall_count_2(\instantiate_atm_pagers[0].atm_pager|stall_count [2]),
590
	.atmF7_wr_fclk(\zports|atmF7_wr_fclk ),
591
	.dos_7ffd_0(\instantiate_atm_pagers[0].atm_pager|dos_7ffd[0]~81 ),
592
	.dos_turn_off(\instantiate_atm_pagers[0].atm_pager|dos_turn_off~58 ),
593
	.dos_exec_stb(\instantiate_atm_pagers[0].atm_pager|dos_exec_stb~122 ),
594
	.dos_exec_stb1(\instantiate_atm_pagers[0].atm_pager|dos_exec_stb~123 ),
595
	.dos_turn_off1(\instantiate_atm_pagers[0].atm_pager|dos_turn_off~59 ),
596
	.page_5(\instantiate_atm_pagers[0].atm_pager|page [5]),
597
	.page_6(\instantiate_atm_pagers[0].atm_pager|page [6]),
598
	.page_7(\instantiate_atm_pagers[0].atm_pager|page [7]),
599
	.p7ffd_int_7(\zports|p7ffd_int [7]),
600
	.a_14(\a~dataout [14]),
601
	.a_15(\a~dataout [15]),
602
	.mreq_n(\mreq_n~dataout ),
603
	.fclk(\fclk~dataout ),
604
	.m1_n(\m1_n~dataout ),
605
	.a_8(\a~dataout [8]),
606
	.a_11(\a~dataout [11]),
607
	.a_12(\a~dataout [12]),
608
	.a_13(\a~dataout [13]),
609
	.a_10(\a~dataout [10]),
610
	.a_9(\a~dataout [9]),
611
	.d_0(\d[0]~7 ),
612
	.d_1(\d[1]~6 ),
613
	.d_2(\d[2]~5 ),
614
	.d_3(\d[3]~4 ),
615
	.d_4(\d[4]~3 ),
616
	.d_5(\d[5]~2 ),
617
	.d_6(\d[6]~1 ),
618
	.d_7(\d[7]~0 ),
619
	.devpor(devpor),
620
	.devclrn(devclrn),
621
	.devoe(devoe));
622
 
623
atm_pager_1 \instantiate_atm_pagers[1].atm_pager (
624
	.romnram(\instantiate_atm_pagers[1].atm_pager|romnram ),
625
	.page_0(\instantiate_atm_pagers[1].atm_pager|page [0]),
626
	.page_1(\instantiate_atm_pagers[1].atm_pager|page [1]),
627
	.page_2(\instantiate_atm_pagers[1].atm_pager|page [2]),
628
	.page_3(\instantiate_atm_pagers[1].atm_pager|page [3]),
629
	.page_4(\instantiate_atm_pagers[1].atm_pager|page [4]),
630
	.dos(\zdos|dos ),
631
	.p7ffd_int_4(\zports|p7ffd_int [4]),
632
	.atm_pen(\zports|atm_pen ),
633
	.p7ffd_int_0(\zports|p7ffd_int [0]),
634
	.always0(\instantiate_atm_pagers[1].atm_pager|always0~47 ),
635
	.p7ffd_int_1(\zports|p7ffd_int [1]),
636
	.p7ffd_int_2(\zports|p7ffd_int [2]),
637
	.p7ffd_int_5(\zports|p7ffd_int [5]),
638
	.peff7_int_2(\zports|peff7_int [2]),
639
	.p7ffd_int_6(\zports|p7ffd_int [6]),
640
	.stall_count_2(\instantiate_atm_pagers[1].atm_pager|stall_count [2]),
641
	.atmF7_wr_fclk(\zports|atmF7_wr_fclk ),
642
	.dos_7ffd_0(\instantiate_atm_pagers[0].atm_pager|dos_7ffd[0]~81 ),
643
	.dos_exec_stb(\instantiate_atm_pagers[0].atm_pager|dos_exec_stb~122 ),
644
	.ramnrom_0(\instantiate_atm_pagers[1].atm_pager|ramnrom[0]~66 ),
645
	.dos_exec_stb1(\instantiate_atm_pagers[1].atm_pager|dos_exec_stb~67 ),
646
	.page_5(\instantiate_atm_pagers[1].atm_pager|page [5]),
647
	.page_6(\instantiate_atm_pagers[1].atm_pager|page [6]),
648
	.page_7(\instantiate_atm_pagers[1].atm_pager|page [7]),
649
	.p7ffd_int_7(\zports|p7ffd_int [7]),
650
	.a_14(\a~dataout [14]),
651
	.a_15(\a~dataout [15]),
652
	.fclk(\fclk~dataout ),
653
	.a_11(\a~dataout [11]),
654
	.d_0(\d[0]~7 ),
655
	.d_1(\d[1]~6 ),
656
	.d_2(\d[2]~5 ),
657
	.d_3(\d[3]~4 ),
658
	.d_4(\d[4]~3 ),
659
	.d_5(\d[5]~2 ),
660
	.d_6(\d[6]~1 ),
661
	.d_7(\d[7]~0 ),
662
	.devpor(devpor),
663
	.devclrn(devclrn),
664
	.devoe(devoe));
665
 
666
atm_pager_2 \instantiate_atm_pagers[2].atm_pager (
667
	.romnram(\instantiate_atm_pagers[2].atm_pager|romnram ),
668
	.page_0(\instantiate_atm_pagers[2].atm_pager|page [0]),
669
	.page_1(\instantiate_atm_pagers[2].atm_pager|page [1]),
670
	.page_2(\instantiate_atm_pagers[2].atm_pager|page [2]),
671
	.page_3(\instantiate_atm_pagers[2].atm_pager|page [3]),
672
	.page_4(\instantiate_atm_pagers[2].atm_pager|page [4]),
673
	.dos(\zdos|dos ),
674
	.p7ffd_int_4(\zports|p7ffd_int [4]),
675
	.atm_pen(\zports|atm_pen ),
676
	.p7ffd_int_0(\zports|p7ffd_int [0]),
677
	.always0(\instantiate_atm_pagers[2].atm_pager|always0~47 ),
678
	.p7ffd_int_1(\zports|p7ffd_int [1]),
679
	.p7ffd_int_2(\zports|p7ffd_int [2]),
680
	.p7ffd_int_5(\zports|p7ffd_int [5]),
681
	.peff7_int_2(\zports|peff7_int [2]),
682
	.p7ffd_int_6(\zports|p7ffd_int [6]),
683
	.stall_count_2(\instantiate_atm_pagers[2].atm_pager|stall_count [2]),
684
	.atmF7_wr_fclk(\zports|atmF7_wr_fclk ),
685
	.dos_7ffd_0(\instantiate_atm_pagers[0].atm_pager|dos_7ffd[0]~81 ),
686
	.dos_exec_stb(\instantiate_atm_pagers[0].atm_pager|dos_exec_stb~122 ),
687
	.ramnrom_0(\instantiate_atm_pagers[2].atm_pager|ramnrom[0]~66 ),
688
	.dos_exec_stb1(\instantiate_atm_pagers[2].atm_pager|dos_exec_stb~67 ),
689
	.page_5(\instantiate_atm_pagers[2].atm_pager|page [5]),
690
	.page_6(\instantiate_atm_pagers[2].atm_pager|page [6]),
691
	.page_7(\instantiate_atm_pagers[2].atm_pager|page [7]),
692
	.p7ffd_int_7(\zports|p7ffd_int [7]),
693
	.a_14(\a~dataout [14]),
694
	.a_15(\a~dataout [15]),
695
	.fclk(\fclk~dataout ),
696
	.a_11(\a~dataout [11]),
697
	.d_0(\d[0]~7 ),
698
	.d_1(\d[1]~6 ),
699
	.d_2(\d[2]~5 ),
700
	.d_3(\d[3]~4 ),
701
	.d_4(\d[4]~3 ),
702
	.d_5(\d[5]~2 ),
703
	.d_6(\d[6]~1 ),
704
	.d_7(\d[7]~0 ),
705
	.devpor(devpor),
706
	.devclrn(devclrn),
707
	.devoe(devoe));
708
 
709
atm_pager_3 \instantiate_atm_pagers[3].atm_pager (
710
	.romnram(\instantiate_atm_pagers[3].atm_pager|romnram ),
711
	.page_0(\instantiate_atm_pagers[3].atm_pager|page [0]),
712
	.page_1(\instantiate_atm_pagers[3].atm_pager|page [1]),
713
	.page_2(\instantiate_atm_pagers[3].atm_pager|page [2]),
714
	.page_3(\instantiate_atm_pagers[3].atm_pager|page [3]),
715
	.page_4(\instantiate_atm_pagers[3].atm_pager|page [4]),
716
	.dos(\zdos|dos ),
717
	.p7ffd_int_4(\zports|p7ffd_int [4]),
718
	.atm_pen(\zports|atm_pen ),
719
	.p7ffd_int_0(\zports|p7ffd_int [0]),
720
	.p7ffd_int_1(\zports|p7ffd_int [1]),
721
	.p7ffd_int_2(\zports|p7ffd_int [2]),
722
	.p7ffd_int_5(\zports|p7ffd_int [5]),
723
	.peff7_int_2(\zports|peff7_int [2]),
724
	.p7ffd_int_6(\zports|p7ffd_int [6]),
725
	.stall_count_2(\instantiate_atm_pagers[3].atm_pager|stall_count [2]),
726
	.atmF7_wr_fclk(\zports|atmF7_wr_fclk ),
727
	.dos_7ffd_0(\instantiate_atm_pagers[0].atm_pager|dos_7ffd[0]~81 ),
728
	.dos_exec_stb(\instantiate_atm_pagers[0].atm_pager|dos_exec_stb~122 ),
729
	.pre_bc1(\zports|pre_bc1~13 ),
730
	.dos_exec_stb1(\instantiate_atm_pagers[3].atm_pager|dos_exec_stb~67 ),
731
	.dos_turn_off(\instantiate_atm_pagers[3].atm_pager|dos_turn_off~39 ),
732
	.page_5(\instantiate_atm_pagers[3].atm_pager|page [5]),
733
	.page_6(\instantiate_atm_pagers[3].atm_pager|page [6]),
734
	.page_7(\instantiate_atm_pagers[3].atm_pager|page [7]),
735
	.p7ffd_int_7(\zports|p7ffd_int [7]),
736
	.a_14(\a~dataout [14]),
737
	.a_15(\a~dataout [15]),
738
	.fclk(\fclk~dataout ),
739
	.a_11(\a~dataout [11]),
740
	.d_0(\d[0]~7 ),
741
	.d_1(\d[1]~6 ),
742
	.d_2(\d[2]~5 ),
743
	.d_3(\d[3]~4 ),
744
	.d_4(\d[4]~3 ),
745
	.d_5(\d[5]~2 ),
746
	.d_6(\d[6]~1 ),
747
	.d_7(\d[7]~0 ),
748
	.devpor(devpor),
749
	.devclrn(devclrn),
750
	.devoe(devoe));
751
 
752
zdos zdos_1(
753
	.rst_out_n(\myrst|rst_out_n ),
754
	.dos(\zdos|dos ),
755
	.always0(\instantiate_atm_pagers[2].atm_pager|always0~47 ),
756
	.always01(\instantiate_atm_pagers[1].atm_pager|always0~47 ),
757
	.WideOr0(\WideOr0~82 ),
758
	.atm_cpm_n(\zports|atm_cpm_n ),
759
	.dos_turn_off(\instantiate_atm_pagers[0].atm_pager|dos_turn_off~58 ),
760
	.ramnrom_0(\instantiate_atm_pagers[1].atm_pager|ramnrom[0]~66 ),
761
	.ramnrom_01(\instantiate_atm_pagers[2].atm_pager|ramnrom[0]~66 ),
762
	.dos_turn_off1(\instantiate_atm_pagers[3].atm_pager|dos_turn_off~39 ),
763
	.dos_turn_off2(\instantiate_atm_pagers[0].atm_pager|dos_turn_off~59 ),
764
	.fclk(\fclk~dataout ),
765
	.devpor(devpor),
766
	.devclrn(devclrn),
767
	.devoe(devoe));
768
 
769
zmem z80mem(
770
	.romnram(\instantiate_atm_pagers[1].atm_pager|romnram ),
771
	.romnram1(\instantiate_atm_pagers[2].atm_pager|romnram ),
772
	.romnram2(\instantiate_atm_pagers[0].atm_pager|romnram ),
773
	.romnram3(\instantiate_atm_pagers[3].atm_pager|romnram ),
774
	.Mux8(\z80mem|Mux8~3 ),
775
	.romoe_n(\z80mem|romoe_n ),
776
	.romrw_en_reg(\zports|romrw_en_reg ),
777
	.romwe_n(\z80mem|romwe_n~15 ),
778
	.page_0(\instantiate_atm_pagers[2].atm_pager|page [0]),
779
	.page_01(\instantiate_atm_pagers[1].atm_pager|page [0]),
780
	.page_02(\instantiate_atm_pagers[0].atm_pager|page [0]),
781
	.page_03(\instantiate_atm_pagers[3].atm_pager|page [0]),
782
	.Mux7(\z80mem|Mux7~3 ),
783
	.page_1(\instantiate_atm_pagers[1].atm_pager|page [1]),
784
	.page_11(\instantiate_atm_pagers[2].atm_pager|page [1]),
785
	.page_12(\instantiate_atm_pagers[0].atm_pager|page [1]),
786
	.page_13(\instantiate_atm_pagers[3].atm_pager|page [1]),
787
	.Mux6(\z80mem|Mux6~3 ),
788
	.page_2(\instantiate_atm_pagers[2].atm_pager|page [2]),
789
	.page_21(\instantiate_atm_pagers[1].atm_pager|page [2]),
790
	.page_22(\instantiate_atm_pagers[0].atm_pager|page [2]),
791
	.page_23(\instantiate_atm_pagers[3].atm_pager|page [2]),
792
	.Mux5(\z80mem|Mux5~10 ),
793
	.page_3(\instantiate_atm_pagers[1].atm_pager|page [3]),
794
	.page_31(\instantiate_atm_pagers[2].atm_pager|page [3]),
795
	.page_32(\instantiate_atm_pagers[0].atm_pager|page [3]),
796
	.page_33(\instantiate_atm_pagers[3].atm_pager|page [3]),
797
	.Mux4(\z80mem|Mux4~3 ),
798
	.page_4(\instantiate_atm_pagers[2].atm_pager|page [4]),
799
	.page_41(\instantiate_atm_pagers[1].atm_pager|page [4]),
800
	.page_42(\instantiate_atm_pagers[0].atm_pager|page [4]),
801
	.page_43(\instantiate_atm_pagers[3].atm_pager|page [4]),
802
	.Mux3(\z80mem|Mux3~3 ),
803
	.cpu_rnw(\z80mem|cpu_rnw~38 ),
804
	.cpu_req(\z80mem|cpu_req ),
805
	.page_5(\instantiate_atm_pagers[1].atm_pager|page [5]),
806
	.page_51(\instantiate_atm_pagers[2].atm_pager|page [5]),
807
	.page_52(\instantiate_atm_pagers[0].atm_pager|page [5]),
808
	.page_53(\instantiate_atm_pagers[3].atm_pager|page [5]),
809
	.Mux2(\z80mem|Mux2~3 ),
810
	.page_6(\instantiate_atm_pagers[2].atm_pager|page [6]),
811
	.page_61(\instantiate_atm_pagers[1].atm_pager|page [6]),
812
	.page_62(\instantiate_atm_pagers[0].atm_pager|page [6]),
813
	.page_63(\instantiate_atm_pagers[3].atm_pager|page [6]),
814
	.Mux1(\z80mem|Mux1~3 ),
815
	.page_7(\instantiate_atm_pagers[1].atm_pager|page [7]),
816
	.page_71(\instantiate_atm_pagers[2].atm_pager|page [7]),
817
	.page_72(\instantiate_atm_pagers[0].atm_pager|page [7]),
818
	.page_73(\instantiate_atm_pagers[3].atm_pager|page [7]),
819
	.Mux0(\z80mem|Mux0~3 ),
820
	.cend(\dramarb|cend ),
821
	.rddata_15(\dram|rddata [15]),
822
	.rddata_6(\dram|rddata [6]),
823
	.rddata_14(\dram|rddata [14]),
824
	.rddata_7(\dram|rddata [7]),
825
	.rddata_1(\dram|rddata [1]),
826
	.rddata_12(\dram|rddata [12]),
827
	.rddata_9(\dram|rddata [9]),
828
	.rddata_4(\dram|rddata [4]),
829
	.rddata_5(\dram|rddata [5]),
830
	.rddata_13(\dram|rddata [13]),
831
	.rddata_2(\dram|rddata [2]),
832
	.rddata_10(\dram|rddata [10]),
833
	.rddata_3(\dram|rddata [3]),
834
	.rddata_11(\dram|rddata [11]),
835
	.rddata_0(\dram|rddata [0]),
836
	.rddata_8(\dram|rddata [8]),
837
	.cpu_strobe(\dramarb|cpu_strobe ),
838
	.zd_out_0(\z80mem|zd_out [0]),
839
	.zd_out_1(\z80mem|zd_out [1]),
840
	.zd_out_2(\z80mem|zd_out [2]),
841
	.zd_out_3(\z80mem|zd_out [3]),
842
	.zd_out_4(\z80mem|zd_out [4]),
843
	.zd_out_5(\z80mem|zd_out [5]),
844
	.zd_out_6(\z80mem|zd_out [6]),
845
	.zd_out_7(\z80mem|zd_out [7]),
846
	.a_14(\a~dataout [14]),
847
	.a_15(\a~dataout [15]),
848
	.rd_n(\rd_n~dataout ),
849
	.mreq_n(\mreq_n~dataout ),
850
	.wr_n(\wr_n~dataout ),
851
	.a_0(\a~dataout [0]),
852
	.fclk(\fclk~dataout ),
853
	.rfsh_n(\rfsh_n~dataout ),
854
	.devpor(devpor),
855
	.devclrn(devclrn),
856
	.devoe(devoe));
857
 
858
dram dram_1(
859
	.rst_out_n(\myrst|rst_out_n ),
860
	.Mux6(\z80mem|Mux6~3 ),
861
	.Mux5(\z80mem|Mux5~10 ),
862
	.Mux4(\z80mem|Mux4~3 ),
863
	.Mux3(\z80mem|Mux3~3 ),
864
	.ra_0(\dram|ra [0]),
865
	.ra_1(\dram|ra [1]),
866
	.ra_2(\dram|ra [2]),
867
	.ra_3(\dram|ra [3]),
868
	.ra_4(\dram|ra [4]),
869
	.ra_5(\dram|ra [5]),
870
	.ra_6(\dram|ra [6]),
871
	.ra_7(\dram|ra [7]),
872
	.ra_8(\dram|ra [8]),
873
	.ra_9(\dram|ra [9]),
874
	.rwe_n(\dram|rwe_n~COMB ),
875
	.rucas_n(\dram|rucas_n~COMB ),
876
	.rlcas_n(\dram|rlcas_n~COMB ),
877
	.rras0_n(\dram|rras0_n~COMB ),
878
	.rras1_n(\dram|rras1_n~COMB ),
879
	.cpu_rnw(\z80mem|cpu_rnw~38 ),
880
	.cpu_req(\z80mem|cpu_req ),
881
	.Equal2(\dramarb|Equal2~38 ),
882
	.next_cycle_0(\dramarb|next_cycle[0]~256 ),
883
	.Selector1(\dram|Selector1~73 ),
884
	.next_cycle_1(\dramarb|next_cycle[1]~258 ),
885
	.cbeg(\dram|cbeg ),
886
	.q_1(\fecher|hcnt_rtl_11|wysi_counter|q [1]),
887
	.q_2(\fecher|hcnt_rtl_11|wysi_counter|q [2]),
888
	.q_3(\fecher|hcnt_rtl_11|wysi_counter|q [3]),
889
	.q_31(\fecher|vcnt_rtl_10|wysi_counter|q [3]),
890
	.p7ffd_int_3(\zports|p7ffd_int [3]),
891
	.q_4(\fecher|vcnt_rtl_10|wysi_counter|q [4]),
892
	.q_5(\fecher|vcnt_rtl_10|wysi_counter|q [5]),
893
	.q_0(\fecher|hcnt_rtl_11|wysi_counter|q [0]),
894
	.int_wrdata_0(\dram|int_wrdata [0]),
895
	.int_wrdata_1(\dram|int_wrdata [1]),
896
	.int_wrdata_2(\dram|int_wrdata [2]),
897
	.int_wrdata_3(\dram|int_wrdata [3]),
898
	.int_wrdata_4(\dram|int_wrdata [4]),
899
	.int_wrdata_5(\dram|int_wrdata [5]),
900
	.int_wrdata_6(\dram|int_wrdata [6]),
901
	.int_wrdata_7(\dram|int_wrdata [7]),
902
	.next_cycle_01(\dramarb|next_cycle[0]~259 ),
903
	.dram_addr_11(\dramarb|dram_addr[11]~2017 ),
904
	.dram_addr_12(\dramarb|dram_addr[12]~2018 ),
905
	.dram_addr_13(\dramarb|dram_addr[13]~2019 ),
906
	.Mux2(\z80mem|Mux2~3 ),
907
	.dram_addr_9(\dramarb|dram_addr[9]~2026 ),
908
	.Mux1(\z80mem|Mux1~3 ),
909
	.dram_addr_10(\dramarb|dram_addr[10]~2027 ),
910
	.Mux0(\z80mem|Mux0~3 ),
911
	.rddata_15(\dram|rddata [15]),
912
	.rddata_6(\dram|rddata [6]),
913
	.rddata_14(\dram|rddata [14]),
914
	.rddata_7(\dram|rddata [7]),
915
	.rddata_1(\dram|rddata [1]),
916
	.rddata_12(\dram|rddata [12]),
917
	.rddata_9(\dram|rddata [9]),
918
	.rddata_4(\dram|rddata [4]),
919
	.rddata_5(\dram|rddata [5]),
920
	.rddata_13(\dram|rddata [13]),
921
	.rddata_2(\dram|rddata [2]),
922
	.rddata_10(\dram|rddata [10]),
923
	.rddata_3(\dram|rddata [3]),
924
	.rddata_11(\dram|rddata [11]),
925
	.rddata_0(\dram|rddata [0]),
926
	.rddata_8(\dram|rddata [8]),
927
	.dram_addr_7(\dramarb|dram_addr[7]~2032 ),
928
	.dram_addr_8(\dramarb|dram_addr[8]~2033 ),
929
	.a_3(\a~dataout [3]),
930
	.a_4(\a~dataout [4]),
931
	.a_0(\a~dataout [0]),
932
	.a_1(\a~dataout [1]),
933
	.a_2(\a~dataout [2]),
934
	.a_6(\a~dataout [6]),
935
	.a_5(\a~dataout [5]),
936
	.a_7(\a~dataout [7]),
937
	.clk(\fclk~dataout ),
938
	.a_8(\a~dataout [8]),
939
	.a_11(\a~dataout [11]),
940
	.a_12(\a~dataout [12]),
941
	.a_13(\a~dataout [13]),
942
	.a_10(\a~dataout [10]),
943
	.a_9(\a~dataout [9]),
944
	.rucas_n1(\dram|rucas_n ),
945
	.rlcas_n1(\dram|rlcas_n ),
946
	.rras0_n1(\dram|rras0_n ),
947
	.rras1_n1(\dram|rras1_n ),
948
	.d_0(\d[0]~7 ),
949
	.d_1(\d[1]~6 ),
950
	.d_2(\d[2]~5 ),
951
	.d_3(\d[3]~4 ),
952
	.d_4(\d[4]~3 ),
953
	.d_5(\d[5]~2 ),
954
	.d_6(\d[6]~1 ),
955
	.d_7(\d[7]~0 ),
956
	.rd_0(\rd[0]~15 ),
957
	.rd_1(\rd[1]~14 ),
958
	.rd_2(\rd[2]~13 ),
959
	.rd_3(\rd[3]~12 ),
960
	.rd_4(\rd[4]~11 ),
961
	.rd_5(\rd[5]~10 ),
962
	.rd_6(\rd[6]~9 ),
963
	.rd_7(\rd[7]~8 ),
964
	.rd_8(\rd[8]~7 ),
965
	.rd_9(\rd[9]~6 ),
966
	.rd_10(\rd[10]~5 ),
967
	.rd_11(\rd[11]~4 ),
968
	.rd_12(\rd[12]~3 ),
969
	.rd_13(\rd[13]~2 ),
970
	.rd_14(\rd[14]~1 ),
971
	.rd_15(\rd[15]~0 ),
972
	.int_wrdata_01(\dram|int_wrdata[0]~24 ),
973
	.int_wrdata_11(\dram|int_wrdata[1]~25 ),
974
	.int_wrdata_21(\dram|int_wrdata[2]~26 ),
975
	.int_wrdata_31(\dram|int_wrdata[3]~27 ),
976
	.int_wrdata_41(\dram|int_wrdata[4]~28 ),
977
	.int_wrdata_51(\dram|int_wrdata[5]~29 ),
978
	.int_wrdata_61(\dram|int_wrdata[6]~30 ),
979
	.int_wrdata_71(\dram|int_wrdata[7]~31 ),
980
	.devpor(devpor),
981
	.devclrn(devclrn),
982
	.devoe(devoe));
983
 
984
arbiter dramarb(
985
	.Mux7(\z80mem|Mux7~3 ),
986
	.cpu_rnw(\z80mem|cpu_rnw~38 ),
987
	.cpu_req(\z80mem|cpu_req ),
988
	.Equal2(\dramarb|Equal2~38 ),
989
	.next_cycle_0(\dramarb|next_cycle[0]~256 ),
990
	.Selector1(\dram|Selector1~73 ),
991
	.go(\fecher|go ),
992
	.next_cycle_1(\dramarb|next_cycle[1]~258 ),
993
	.peff7_int_0(\zports|peff7_int [0]),
994
	.cbeg(\dram|cbeg ),
995
	.pre_cend(\dramarb|pre_cend ),
996
	.dcnt_0(\fecher|dcnt [0]),
997
	.video_next(\dramarb|video_next ),
998
	.dcnt_1(\fecher|dcnt [1]),
999
	.next_cycle_01(\dramarb|next_cycle[0]~259 ),
1000
	.q_7(\fecher|vcnt_rtl_10|wysi_counter|q [7]),
1001
	.peff7_int_5(\zports|peff7_int [5]),
1002
	.dram_addr_11(\dramarb|dram_addr[11]~2017 ),
1003
	.dram_addr_12(\dramarb|dram_addr[12]~2018 ),
1004
	.dram_addr_13(\dramarb|dram_addr[13]~2019 ),
1005
	.q_2(\fecher|vcnt_rtl_10|wysi_counter|q [2]),
1006
	.dram_addr_9(\dramarb|dram_addr[9]~2026 ),
1007
	.q_6(\fecher|vcnt_rtl_10|wysi_counter|q [6]),
1008
	.dram_addr_10(\dramarb|dram_addr[10]~2027 ),
1009
	.cend(\dramarb|cend ),
1010
	.video_strobe(\dramarb|video_strobe ),
1011
	.cpu_strobe(\dramarb|cpu_strobe ),
1012
	.q_1(\fecher|vcnt_rtl_10|wysi_counter|q [1]),
1013
	.q_0(\fecher|vcnt_rtl_10|wysi_counter|q [0]),
1014
	.dram_addr_7(\dramarb|dram_addr[7]~2032 ),
1015
	.dram_addr_8(\dramarb|dram_addr[8]~2033 ),
1016
	.clk(\fclk~dataout ),
1017
	.devpor(devpor),
1018
	.devclrn(devclrn),
1019
	.devoe(devoe));
1020
 
1021
synch horiz_sync(
1022
	.hpix(\horiz_sync|hpix ),
1023
	.hblank(\horiz_sync|hblank ),
1024
	.hsync(\horiz_sync|hsync ),
1025
	.pre_cend(\dramarb|pre_cend ),
1026
	.line_start(\horiz_sync|line_start ),
1027
	.cend(\dramarb|cend ),
1028
	.hsync_start(\horiz_sync|hsync_start ),
1029
	.hint_start(\horiz_sync|hint_start ),
1030
	.clk(\fclk~dataout ),
1031
	.line_start1(\horiz_sync|line_start~_wirecell ),
1032
	.devpor(devpor),
1033
	.devclrn(devclrn),
1034
	.devoe(devoe));
1035
 
1036
syncv vert_sync(
1037
	.vpix(\vert_sync|vpix ),
1038
	.vblank(\vert_sync|vblank ),
1039
	.vsync(\vert_sync|vsync ),
1040
	.int_start(\vert_sync|int_start ),
1041
	.line_start(\horiz_sync|line_start ),
1042
	.hsync_start(\horiz_sync|hsync_start ),
1043
	.hint_start(\horiz_sync|hint_start ),
1044
	.clk(\fclk~dataout ),
1045
	.int_start1(\vert_sync|int_start~_wirecell ),
1046
	.devpor(devpor),
1047
	.devclrn(devclrn),
1048
	.devoe(devoe));
1049
 
1050
vga_synch vga_synch_1(
1051
	.vga_hsync(\vga_synch|vga_hsync ),
1052
	.scanout_start(\vga_synch|scanout_start ),
1053
	.hsync_start(\horiz_sync|hsync_start ),
1054
	.clk(\fclk~dataout ),
1055
	.devpor(devpor),
1056
	.devclrn(devclrn),
1057
	.devoe(devoe));
1058
 
1059
fetch fecher(
1060
	.go(\fecher|go ),
1061
	.vpix(\vert_sync|vpix ),
1062
	.Mux10(\fecher|Mux10~30 ),
1063
	.zxcolor_3(\fecher|zxcolor[3]~196 ),
1064
	.peff7_int_0(\zports|peff7_int [0]),
1065
	.Mux15(\fecher|Mux15~30 ),
1066
	.zxcolor(\fecher|zxcolor~199 ),
1067
	.zxcolor_1(\fecher|zxcolor[1]~200 ),
1068
	.Mux14(\fecher|Mux14~30 ),
1069
	.zxcolor1(\fecher|zxcolor~202 ),
1070
	.zxcolor_2(\fecher|zxcolor[2]~203 ),
1071
	.Mux16(\fecher|Mux16~30 ),
1072
	.zxcolor2(\fecher|zxcolor~205 ),
1073
	.zxcolor_0(\fecher|zxcolor[0]~206 ),
1074
	.q_1(\fecher|hcnt_rtl_11|wysi_counter|q [1]),
1075
	.q_2(\fecher|hcnt_rtl_11|wysi_counter|q [2]),
1076
	.q_3(\fecher|hcnt_rtl_11|wysi_counter|q [3]),
1077
	.q_31(\fecher|vcnt_rtl_10|wysi_counter|q [3]),
1078
	.q_4(\fecher|vcnt_rtl_10|wysi_counter|q [4]),
1079
	.q_5(\fecher|vcnt_rtl_10|wysi_counter|q [5]),
1080
	.q_0(\fecher|hcnt_rtl_11|wysi_counter|q [0]),
1081
	.int_start(\vert_sync|int_start ),
1082
	.dcnt_0(\fecher|dcnt [0]),
1083
	.video_next(\dramarb|video_next ),
1084
	.dcnt_1(\fecher|dcnt [1]),
1085
	.line_start(\horiz_sync|line_start ),
1086
	.q_7(\fecher|vcnt_rtl_10|wysi_counter|q [7]),
1087
	.q_21(\fecher|vcnt_rtl_10|wysi_counter|q [2]),
1088
	.q_6(\fecher|vcnt_rtl_10|wysi_counter|q [6]),
1089
	.cend(\dramarb|cend ),
1090
	.rddata_15(\dram|rddata [15]),
1091
	.video_strobe(\dramarb|video_strobe ),
1092
	.rddata_6(\dram|rddata [6]),
1093
	.rddata_14(\dram|rddata [14]),
1094
	.rddata_7(\dram|rddata [7]),
1095
	.rddata_1(\dram|rddata [1]),
1096
	.rddata_12(\dram|rddata [12]),
1097
	.rddata_9(\dram|rddata [9]),
1098
	.rddata_4(\dram|rddata [4]),
1099
	.rddata_5(\dram|rddata [5]),
1100
	.rddata_13(\dram|rddata [13]),
1101
	.rddata_2(\dram|rddata [2]),
1102
	.rddata_10(\dram|rddata [10]),
1103
	.rddata_3(\dram|rddata [3]),
1104
	.rddata_11(\dram|rddata [11]),
1105
	.rddata_0(\dram|rddata [0]),
1106
	.rddata_8(\dram|rddata [8]),
1107
	.q_11(\fecher|vcnt_rtl_10|wysi_counter|q [1]),
1108
	.q_01(\fecher|vcnt_rtl_10|wysi_counter|q [0]),
1109
	.clk(\fclk~dataout ),
1110
	.line_start1(\horiz_sync|line_start~_wirecell ),
1111
	.int_start1(\vert_sync|int_start~_wirecell ),
1112
	.devpor(devpor),
1113
	.devclrn(devclrn),
1114
	.devoe(devoe));
1115
 
1116
videoout vidia(
1117
	.vred_0(\vidia|vred[0]~COMB ),
1118
	.vred_1(\vidia|vred[1]~COMB ),
1119
	.vgrn_0(\vidia|vgrn[0]~COMB ),
1120
	.vgrn_1(\vidia|vgrn[1]~COMB ),
1121
	.vblu_0(\vidia|vblu[0]~COMB ),
1122
	.vblu_1(\vidia|vblu[1]~COMB ),
1123
	.vhsync(\vidia|vhsync ),
1124
	.vvsync(\vidia|vvsync ),
1125
	.vcsync(\vidia|vcsync ),
1126
	.vpix(\vert_sync|vpix ),
1127
	.hpix(\horiz_sync|hpix ),
1128
	.vblank(\vert_sync|vblank ),
1129
	.hblank(\horiz_sync|hblank ),
1130
	.Mux10(\fecher|Mux10~30 ),
1131
	.zxcolor_3(\fecher|zxcolor[3]~196 ),
1132
	.peff7_int_0(\zports|peff7_int [0]),
1133
	.Mux15(\fecher|Mux15~30 ),
1134
	.zxcolor(\fecher|zxcolor~199 ),
1135
	.cfg0_reg_out_0(\slavespi|cfg0_reg_out [0]),
1136
	.zxcolor_1(\fecher|zxcolor[1]~200 ),
1137
	.border_1(\zports|border [1]),
1138
	.Mux14(\fecher|Mux14~30 ),
1139
	.zxcolor1(\fecher|zxcolor~202 ),
1140
	.border_2(\zports|border [2]),
1141
	.zxcolor_2(\fecher|zxcolor[2]~203 ),
1142
	.Mux16(\fecher|Mux16~30 ),
1143
	.zxcolor2(\fecher|zxcolor~205 ),
1144
	.border_0(\zports|border [0]),
1145
	.zxcolor_0(\fecher|zxcolor[0]~206 ),
1146
	.vga_hsync(\vga_synch|vga_hsync ),
1147
	.hsync(\horiz_sync|hsync ),
1148
	.vsync(\vert_sync|vsync ),
1149
	.line_start(\horiz_sync|line_start ),
1150
	.scanout_start(\vga_synch|scanout_start ),
1151
	.hsync_start(\horiz_sync|hsync_start ),
1152
	.fclk(\fclk~dataout ),
1153
	.devpor(devpor),
1154
	.devclrn(devclrn),
1155
	.devoe(devoe));
1156
 
1157
slavespi slavespi_1(
1158
	.shift_out_0(\slavespi|shift_out [0]),
1159
	.waits_1(\zwait|waits [1]),
1160
	.waits_0(\zwait|waits [0]),
1161
	.cfg0_reg_out_1(\slavespi|cfg0_reg_out [1]),
1162
	.spics_n_sync_1(\slavespi|spics_n_sync [1]),
1163
	.spics_n_sync_2(\slavespi|spics_n_sync [2]),
1164
	.genrst(\slavespi|genrst ),
1165
	.cfg0_reg_out_0(\slavespi|cfg0_reg_out [0]),
1166
	.wait_write_0(\zports|wait_write [0]),
1167
	.gluclock_addr_0(\zports|gluclock_addr [0]),
1168
	.comport_addr_0(\zports|comport_addr [0]),
1169
	.sel_waitreg(\slavespi|sel_waitreg~8 ),
1170
	.wait_write_1(\zports|wait_write [1]),
1171
	.gluclock_addr_1(\zports|gluclock_addr [1]),
1172
	.comport_addr_1(\zports|comport_addr [1]),
1173
	.cfg0_reg_out_2(\slavespi|cfg0_reg_out [2]),
1174
	.wait_write_2(\zports|wait_write [2]),
1175
	.gluclock_addr_2(\zports|gluclock_addr [2]),
1176
	.comport_addr_2(\zports|comport_addr [2]),
1177
	.mouse_buf_5(\slavespi|mouse_buf [5]),
1178
	.mus_ystb(\slavespi|mus_ystb ),
1179
	.mus_xstb(\slavespi|mus_xstb ),
1180
	.mus_btnstb(\slavespi|mus_btnstb~12 ),
1181
	.mouse_buf_6(\slavespi|mouse_buf [6]),
1182
	.mouse_buf_7(\slavespi|mouse_buf [7]),
1183
	.wait_write_3(\zports|wait_write [3]),
1184
	.gluclock_addr_3(\zports|gluclock_addr [3]),
1185
	.wait_reg_0(\slavespi|wait_reg [0]),
1186
	.wait_reg_1(\slavespi|wait_reg [1]),
1187
	.wait_reg_2(\slavespi|wait_reg [2]),
1188
	.wait_reg_3(\slavespi|wait_reg [3]),
1189
	.wait_reg_4(\slavespi|wait_reg [4]),
1190
	.wait_reg_5(\slavespi|wait_reg [5]),
1191
	.wait_reg_6(\slavespi|wait_reg [6]),
1192
	.wait_reg_7(\slavespi|wait_reg [7]),
1193
	.wait_write_4(\zports|wait_write [4]),
1194
	.gluclock_addr_4(\zports|gluclock_addr [4]),
1195
	.mouse_buf_0(\slavespi|mouse_buf [0]),
1196
	.kj_stb(\slavespi|kj_stb~12 ),
1197
	.mouse_buf_1(\slavespi|mouse_buf [1]),
1198
	.mouse_buf_2(\slavespi|mouse_buf [2]),
1199
	.mouse_buf_3(\slavespi|mouse_buf [3]),
1200
	.mouse_buf_4(\slavespi|mouse_buf [4]),
1201
	.wait_write_5(\zports|wait_write [5]),
1202
	.gluclock_addr_5(\zports|gluclock_addr [5]),
1203
	.wait_write_6(\zports|wait_write [6]),
1204
	.gluclock_addr_6(\zports|gluclock_addr [6]),
1205
	.kbd_reg_34(\slavespi|kbd_reg [34]),
1206
	.kbd_stb(\slavespi|kbd_stb~30 ),
1207
	.kbd_reg_39(\slavespi|kbd_reg [39]),
1208
	.kbd_reg_33(\slavespi|kbd_reg [33]),
1209
	.kbd_reg_38(\slavespi|kbd_reg [38]),
1210
	.kbd_reg_37(\slavespi|kbd_reg [37]),
1211
	.kbd_reg_32(\slavespi|kbd_reg [32]),
1212
	.kbd_reg_35(\slavespi|kbd_reg [35]),
1213
	.kbd_reg_36(\slavespi|kbd_reg [36]),
1214
	.kbd_reg_26(\slavespi|kbd_reg [26]),
1215
	.kbd_reg_31(\slavespi|kbd_reg [31]),
1216
	.kbd_reg_25(\slavespi|kbd_reg [25]),
1217
	.kbd_reg_30(\slavespi|kbd_reg [30]),
1218
	.kbd_reg_29(\slavespi|kbd_reg [29]),
1219
	.kbd_reg_24(\slavespi|kbd_reg [24]),
1220
	.kbd_reg_27(\slavespi|kbd_reg [27]),
1221
	.kbd_reg_28(\slavespi|kbd_reg [28]),
1222
	.kbd_reg_18(\slavespi|kbd_reg [18]),
1223
	.kbd_reg_23(\slavespi|kbd_reg [23]),
1224
	.kbd_reg_17(\slavespi|kbd_reg [17]),
1225
	.kbd_reg_22(\slavespi|kbd_reg [22]),
1226
	.kbd_reg_21(\slavespi|kbd_reg [21]),
1227
	.kbd_reg_16(\slavespi|kbd_reg [16]),
1228
	.kbd_reg_19(\slavespi|kbd_reg [19]),
1229
	.kbd_reg_20(\slavespi|kbd_reg [20]),
1230
	.kbd_reg_10(\slavespi|kbd_reg [10]),
1231
	.kbd_reg_15(\slavespi|kbd_reg [15]),
1232
	.kbd_reg_9(\slavespi|kbd_reg [9]),
1233
	.kbd_reg_14(\slavespi|kbd_reg [14]),
1234
	.kbd_reg_13(\slavespi|kbd_reg [13]),
1235
	.kbd_reg_8(\slavespi|kbd_reg [8]),
1236
	.kbd_reg_11(\slavespi|kbd_reg [11]),
1237
	.kbd_reg_12(\slavespi|kbd_reg [12]),
1238
	.kbd_reg_2(\slavespi|kbd_reg [2]),
1239
	.kbd_reg_7(\slavespi|kbd_reg [7]),
1240
	.kbd_reg_1(\slavespi|kbd_reg [1]),
1241
	.kbd_reg_6(\slavespi|kbd_reg [6]),
1242
	.kbd_reg_5(\slavespi|kbd_reg [5]),
1243
	.kbd_reg_0(\slavespi|kbd_reg [0]),
1244
	.kbd_reg_3(\slavespi|kbd_reg [3]),
1245
	.kbd_reg_4(\slavespi|kbd_reg [4]),
1246
	.wait_write_7(\zports|wait_write [7]),
1247
	.gluclock_addr_7(\zports|gluclock_addr [7]),
1248
	.wait_rnw(\zports|wait_rnw ),
1249
	.fclk(\fclk~dataout ),
1250
	.spics_n(\spics_n~dataout ),
1251
	.spick(\spick~dataout ),
1252
	.spido(\spido~dataout ),
1253
	.devpor(devpor),
1254
	.devclrn(devclrn),
1255
	.devoe(devoe));
1256
 
1257
zkbdmus zkbdmus_1(
1258
	.musy_5(\zkbdmus|musy [5]),
1259
	.musx_5(\zkbdmus|musx [5]),
1260
	.musbtn_5(\zkbdmus|musbtn [5]),
1261
	.musy_6(\zkbdmus|musy [6]),
1262
	.musx_6(\zkbdmus|musx [6]),
1263
	.musbtn_6(\zkbdmus|musbtn [6]),
1264
	.musy_7(\zkbdmus|musy [7]),
1265
	.musx_7(\zkbdmus|musx [7]),
1266
	.musbtn_7(\zkbdmus|musbtn [7]),
1267
	.mouse_buf_5(\slavespi|mouse_buf [5]),
1268
	.mus_ystb(\slavespi|mus_ystb ),
1269
	.mus_xstb(\slavespi|mus_xstb ),
1270
	.mus_btnstb(\slavespi|mus_btnstb~12 ),
1271
	.mouse_buf_6(\slavespi|mouse_buf [6]),
1272
	.mouse_buf_7(\slavespi|mouse_buf [7]),
1273
	.musy_0(\zkbdmus|musy [0]),
1274
	.musx_0(\zkbdmus|musx [0]),
1275
	.musbtn_0(\zkbdmus|musbtn [0]),
1276
	.kj_data_0(\zkbdmus|kj_data [0]),
1277
	.musy_1(\zkbdmus|musy [1]),
1278
	.musx_1(\zkbdmus|musx [1]),
1279
	.musbtn_1(\zkbdmus|musbtn [1]),
1280
	.kj_data_1(\zkbdmus|kj_data [1]),
1281
	.musy_2(\zkbdmus|musy [2]),
1282
	.musx_2(\zkbdmus|musx [2]),
1283
	.musbtn_2(\zkbdmus|musbtn [2]),
1284
	.kj_data_2(\zkbdmus|kj_data [2]),
1285
	.musy_3(\zkbdmus|musy [3]),
1286
	.musx_3(\zkbdmus|musx [3]),
1287
	.musbtn_3(\zkbdmus|musbtn [3]),
1288
	.kj_data_3(\zkbdmus|kj_data [3]),
1289
	.musy_4(\zkbdmus|musy [4]),
1290
	.musx_4(\zkbdmus|musx [4]),
1291
	.musbtn_4(\zkbdmus|musbtn [4]),
1292
	.kj_data_4(\zkbdmus|kj_data [4]),
1293
	.mouse_buf_0(\slavespi|mouse_buf [0]),
1294
	.kj_stb(\slavespi|kj_stb~12 ),
1295
	.mouse_buf_1(\slavespi|mouse_buf [1]),
1296
	.mouse_buf_2(\slavespi|mouse_buf [2]),
1297
	.mouse_buf_3(\slavespi|mouse_buf [3]),
1298
	.mouse_buf_4(\slavespi|mouse_buf [4]),
1299
	.kbd_34(\zkbdmus|kbd [34]),
1300
	.kbd_39(\zkbdmus|kbd [39]),
1301
	.kbd_33(\zkbdmus|kbd [33]),
1302
	.kbd_38(\zkbdmus|kbd [38]),
1303
	.kbd_37(\zkbdmus|kbd [37]),
1304
	.kbd_32(\zkbdmus|kbd [32]),
1305
	.kbd_35(\zkbdmus|kbd [35]),
1306
	.kbd_36(\zkbdmus|kbd [36]),
1307
	.kbd_26(\zkbdmus|kbd [26]),
1308
	.kbd_31(\zkbdmus|kbd [31]),
1309
	.kbd_25(\zkbdmus|kbd [25]),
1310
	.kbd_30(\zkbdmus|kbd [30]),
1311
	.kbd_29(\zkbdmus|kbd [29]),
1312
	.kbd_24(\zkbdmus|kbd [24]),
1313
	.kbd_27(\zkbdmus|kbd [27]),
1314
	.kbd_28(\zkbdmus|kbd [28]),
1315
	.kbd_18(\zkbdmus|kbd [18]),
1316
	.kbd_23(\zkbdmus|kbd [23]),
1317
	.kbd_17(\zkbdmus|kbd [17]),
1318
	.kbd_22(\zkbdmus|kbd [22]),
1319
	.kbd_21(\zkbdmus|kbd [21]),
1320
	.kbd_16(\zkbdmus|kbd [16]),
1321
	.kbd_19(\zkbdmus|kbd [19]),
1322
	.kbd_20(\zkbdmus|kbd [20]),
1323
	.kbd_10(\zkbdmus|kbd [10]),
1324
	.kbd_15(\zkbdmus|kbd [15]),
1325
	.kbd_9(\zkbdmus|kbd [9]),
1326
	.kbd_14(\zkbdmus|kbd [14]),
1327
	.kbd_13(\zkbdmus|kbd [13]),
1328
	.kbd_8(\zkbdmus|kbd [8]),
1329
	.kbd_11(\zkbdmus|kbd [11]),
1330
	.kbd_12(\zkbdmus|kbd [12]),
1331
	.kbd_2(\zkbdmus|kbd [2]),
1332
	.kbd_7(\zkbdmus|kbd [7]),
1333
	.kbd_1(\zkbdmus|kbd [1]),
1334
	.kbd_6(\zkbdmus|kbd [6]),
1335
	.kbd_5(\zkbdmus|kbd [5]),
1336
	.kbd_0(\zkbdmus|kbd [0]),
1337
	.kbd_3(\zkbdmus|kbd [3]),
1338
	.kbd_4(\zkbdmus|kbd [4]),
1339
	.kbd_reg_34(\slavespi|kbd_reg [34]),
1340
	.kbd_stb(\slavespi|kbd_stb~30 ),
1341
	.kbd_reg_39(\slavespi|kbd_reg [39]),
1342
	.kbd_reg_33(\slavespi|kbd_reg [33]),
1343
	.kbd_reg_38(\slavespi|kbd_reg [38]),
1344
	.kbd_reg_37(\slavespi|kbd_reg [37]),
1345
	.kbd_reg_32(\slavespi|kbd_reg [32]),
1346
	.kbd_reg_35(\slavespi|kbd_reg [35]),
1347
	.kbd_reg_36(\slavespi|kbd_reg [36]),
1348
	.kbd_reg_26(\slavespi|kbd_reg [26]),
1349
	.kbd_reg_31(\slavespi|kbd_reg [31]),
1350
	.kbd_reg_25(\slavespi|kbd_reg [25]),
1351
	.kbd_reg_30(\slavespi|kbd_reg [30]),
1352
	.kbd_reg_29(\slavespi|kbd_reg [29]),
1353
	.kbd_reg_24(\slavespi|kbd_reg [24]),
1354
	.kbd_reg_27(\slavespi|kbd_reg [27]),
1355
	.kbd_reg_28(\slavespi|kbd_reg [28]),
1356
	.kbd_reg_18(\slavespi|kbd_reg [18]),
1357
	.kbd_reg_23(\slavespi|kbd_reg [23]),
1358
	.kbd_reg_17(\slavespi|kbd_reg [17]),
1359
	.kbd_reg_22(\slavespi|kbd_reg [22]),
1360
	.kbd_reg_21(\slavespi|kbd_reg [21]),
1361
	.kbd_reg_16(\slavespi|kbd_reg [16]),
1362
	.kbd_reg_19(\slavespi|kbd_reg [19]),
1363
	.kbd_reg_20(\slavespi|kbd_reg [20]),
1364
	.kbd_reg_10(\slavespi|kbd_reg [10]),
1365
	.kbd_reg_15(\slavespi|kbd_reg [15]),
1366
	.kbd_reg_9(\slavespi|kbd_reg [9]),
1367
	.kbd_reg_14(\slavespi|kbd_reg [14]),
1368
	.kbd_reg_13(\slavespi|kbd_reg [13]),
1369
	.kbd_reg_8(\slavespi|kbd_reg [8]),
1370
	.kbd_reg_11(\slavespi|kbd_reg [11]),
1371
	.kbd_reg_12(\slavespi|kbd_reg [12]),
1372
	.kbd_reg_2(\slavespi|kbd_reg [2]),
1373
	.kbd_reg_7(\slavespi|kbd_reg [7]),
1374
	.kbd_reg_1(\slavespi|kbd_reg [1]),
1375
	.kbd_reg_6(\slavespi|kbd_reg [6]),
1376
	.kbd_reg_5(\slavespi|kbd_reg [5]),
1377
	.kbd_reg_0(\slavespi|kbd_reg [0]),
1378
	.kbd_reg_3(\slavespi|kbd_reg [3]),
1379
	.kbd_reg_4(\slavespi|kbd_reg [4]),
1380
	.fclk(\fclk~dataout ),
1381
	.devpor(devpor),
1382
	.devclrn(devclrn),
1383
	.devoe(devoe));
1384
 
1385
zports zports_1(
1386
	.rst_n(\myrst|rst_out_n ),
1387
	.romrw_en_reg(\zports|romrw_en_reg ),
1388
	.dos(\zdos|dos ),
1389
	.always0(\zports|always0~81 ),
1390
	.porthit(\zports|porthit~584 ),
1391
	.ay_bdir(\zports|ay_bdir ),
1392
	.ay_bc1(\zports|ay_bc1~38 ),
1393
	.beep(\zports|beep ),
1394
	.ide_rd_n(\zports|ide_rd_n~64 ),
1395
	.ide_cs0_n(\zports|ide_cs0_n ),
1396
	.ide_cs1_n(\zports|ide_cs1_n ),
1397
	.ide_wr_n(\zports|ide_wr_n~73 ),
1398
	.vg_cs_n(\zports|vg_cs_n ),
1399
	.sdcs_n(\zports|sdcs_n ),
1400
	.zpos(\zclock|zpos ),
1401
	.p7ffd_int_4(\zports|p7ffd_int [4]),
1402
	.atm_pen(\zports|atm_pen ),
1403
	.peff7_int_3(\zports|peff7_int [3]),
1404
	.p7ffd_int_0(\zports|p7ffd_int [0]),
1405
	.p7ffd_int_1(\zports|p7ffd_int [1]),
1406
	.p7ffd_int_2(\zports|p7ffd_int [2]),
1407
	.p7ffd_int_5(\zports|p7ffd_int [5]),
1408
	.peff7_int_2(\zports|peff7_int [2]),
1409
	.p7ffd_int_6(\zports|p7ffd_int [6]),
1410
	.atm_cpm_n(\zports|atm_cpm_n ),
1411
	.peff7_int_0(\zports|peff7_int [0]),
1412
	.border_1(\zports|border [1]),
1413
	.border_2(\zports|border [2]),
1414
	.border_0(\zports|border [0]),
1415
	.port_wr(\zports|port_wr ),
1416
	.vg_wrFF(\zports|vg_wrFF ),
1417
	.sd_stgl_2(\zports|sd_stgl [2]),
1418
	.sd_stgl_1(\zports|sd_stgl [1]),
1419
	.sd_start(\zports|sd_start ),
1420
	.wait_write_0(\zports|wait_write [0]),
1421
	.gluclock_addr_0(\zports|gluclock_addr [0]),
1422
	.comport_addr_0(\zports|comport_addr [0]),
1423
	.wait_start_comport(\zports|wait_start_comport~22 ),
1424
	.wait_start_gluclock(\zports|wait_start_gluclock~58 ),
1425
	.atmF7_wr_fclk(\zports|atmF7_wr_fclk ),
1426
	.pre_bc1(\zports|pre_bc1~13 ),
1427
	.p7ffd_int_3(\zports|p7ffd_int [3]),
1428
	.wait_write_1(\zports|wait_write [1]),
1429
	.gluclock_addr_1(\zports|gluclock_addr [1]),
1430
	.comport_addr_1(\zports|comport_addr [1]),
1431
	.Selector7(\zports|Selector7~1858 ),
1432
	.musy_5(\zkbdmus|musy [5]),
1433
	.musx_5(\zkbdmus|musx [5]),
1434
	.musbtn_5(\zkbdmus|musbtn [5]),
1435
	.Selector2(\zports|Selector2~1452 ),
1436
	.drq_sync_1(\vgshka|drq_sync [1]),
1437
	.cfg0_reg_out_2(\slavespi|cfg0_reg_out [2]),
1438
	.musy_6(\zkbdmus|musy [6]),
1439
	.musx_6(\zkbdmus|musx [6]),
1440
	.musbtn_6(\zkbdmus|musbtn [6]),
1441
	.Selector1(\zports|Selector1~1384 ),
1442
	.intrq_sync_1(\vgshka|intrq_sync [1]),
1443
	.musy_7(\zkbdmus|musy [7]),
1444
	.musx_7(\zkbdmus|musx [7]),
1445
	.musbtn_7(\zkbdmus|musbtn [7]),
1446
	.Selector0(\zports|Selector0~1454 ),
1447
	.ideout_0(\zports|ideout[0]~64 ),
1448
	.ideout_1(\zports|ideout[1]~65 ),
1449
	.ideout_2(\zports|ideout[2]~66 ),
1450
	.ideout_3(\zports|ideout[3]~67 ),
1451
	.ideout_4(\zports|ideout[4]~68 ),
1452
	.ideout_5(\zports|ideout[5]~69 ),
1453
	.ideout_6(\zports|ideout[6]~70 ),
1454
	.ideout_7(\zports|ideout[7]~71 ),
1455
	.ideout_8(\zports|ideout[8]~72 ),
1456
	.ideout_9(\zports|ideout[9]~73 ),
1457
	.ideout_10(\zports|ideout[10]~74 ),
1458
	.ideout_11(\zports|ideout[11]~75 ),
1459
	.ideout_12(\zports|ideout[12]~76 ),
1460
	.ideout_13(\zports|ideout[13]~77 ),
1461
	.ideout_14(\zports|ideout[14]~78 ),
1462
	.ideout_15(\zports|ideout[15]~79 ),
1463
	.peff7_int_4(\zports|peff7_int [4]),
1464
	.peff7_int_5(\zports|peff7_int [5]),
1465
	.wait_write_2(\zports|wait_write [2]),
1466
	.gluclock_addr_2(\zports|gluclock_addr [2]),
1467
	.comport_addr_2(\zports|comport_addr [2]),
1468
	.p7ffd_int_7(\zports|p7ffd_int [7]),
1469
	.wait_write_3(\zports|wait_write [3]),
1470
	.gluclock_addr_3(\zports|gluclock_addr [3]),
1471
	.wait_reg_0(\slavespi|wait_reg [0]),
1472
	.Selector01(\zports|Selector0~1469 ),
1473
	.dataout(\zports|dataout~113 ),
1474
	.dout_0(\zspi|dout [0]),
1475
	.Selector71(\zports|Selector7~1898 ),
1476
	.musy_0(\zkbdmus|musy [0]),
1477
	.musx_0(\zkbdmus|musx [0]),
1478
	.musbtn_0(\zkbdmus|musbtn [0]),
1479
	.kj_data_0(\zkbdmus|kj_data [0]),
1480
	.Selector72(\zports|Selector7~1899 ),
1481
	.wait_reg_1(\slavespi|wait_reg [1]),
1482
	.dout_1(\zspi|dout [1]),
1483
	.Selector6(\zports|Selector6~1408 ),
1484
	.musy_1(\zkbdmus|musy [1]),
1485
	.musx_1(\zkbdmus|musx [1]),
1486
	.musbtn_1(\zkbdmus|musbtn [1]),
1487
	.kj_data_1(\zkbdmus|kj_data [1]),
1488
	.Selector61(\zports|Selector6~1409 ),
1489
	.wait_reg_2(\slavespi|wait_reg [2]),
1490
	.dout_2(\zspi|dout [2]),
1491
	.Selector5(\zports|Selector5~1408 ),
1492
	.musy_2(\zkbdmus|musy [2]),
1493
	.musx_2(\zkbdmus|musx [2]),
1494
	.musbtn_2(\zkbdmus|musbtn [2]),
1495
	.kj_data_2(\zkbdmus|kj_data [2]),
1496
	.Selector51(\zports|Selector5~1409 ),
1497
	.wait_reg_3(\slavespi|wait_reg [3]),
1498
	.dout_3(\zspi|dout [3]),
1499
	.Selector4(\zports|Selector4~1408 ),
1500
	.musy_3(\zkbdmus|musy [3]),
1501
	.musx_3(\zkbdmus|musx [3]),
1502
	.musbtn_3(\zkbdmus|musbtn [3]),
1503
	.kj_data_3(\zkbdmus|kj_data [3]),
1504
	.Selector41(\zports|Selector4~1409 ),
1505
	.wait_reg_4(\slavespi|wait_reg [4]),
1506
	.dout_4(\zspi|dout [4]),
1507
	.Selector3(\zports|Selector3~1408 ),
1508
	.musy_4(\zkbdmus|musy [4]),
1509
	.musx_4(\zkbdmus|musx [4]),
1510
	.musbtn_4(\zkbdmus|musbtn [4]),
1511
	.kj_data_4(\zkbdmus|kj_data [4]),
1512
	.Selector31(\zports|Selector3~1409 ),
1513
	.wait_reg_5(\slavespi|wait_reg [5]),
1514
	.dout_5(\zspi|dout [5]),
1515
	.Selector21(\zports|Selector2~1468 ),
1516
	.wait_reg_6(\slavespi|wait_reg [6]),
1517
	.dout_6(\zspi|dout [6]),
1518
	.Selector11(\zports|Selector1~1400 ),
1519
	.wait_reg_7(\slavespi|wait_reg [7]),
1520
	.dout_7(\zspi|dout [7]),
1521
	.Selector02(\zports|Selector0~1470 ),
1522
	.wait_write_4(\zports|wait_write [4]),
1523
	.gluclock_addr_4(\zports|gluclock_addr [4]),
1524
	.wait_write_5(\zports|wait_write [5]),
1525
	.gluclock_addr_5(\zports|gluclock_addr [5]),
1526
	.kbd_34(\zkbdmus|kbd [34]),
1527
	.kbd_39(\zkbdmus|kbd [39]),
1528
	.kbd_33(\zkbdmus|kbd [33]),
1529
	.kbd_38(\zkbdmus|kbd [38]),
1530
	.kbd_37(\zkbdmus|kbd [37]),
1531
	.kbd_32(\zkbdmus|kbd [32]),
1532
	.kbd_35(\zkbdmus|kbd [35]),
1533
	.kbd_36(\zkbdmus|kbd [36]),
1534
	.kbd_26(\zkbdmus|kbd [26]),
1535
	.kbd_31(\zkbdmus|kbd [31]),
1536
	.kbd_25(\zkbdmus|kbd [25]),
1537
	.kbd_30(\zkbdmus|kbd [30]),
1538
	.kbd_29(\zkbdmus|kbd [29]),
1539
	.kbd_24(\zkbdmus|kbd [24]),
1540
	.kbd_27(\zkbdmus|kbd [27]),
1541
	.kbd_28(\zkbdmus|kbd [28]),
1542
	.kbd_18(\zkbdmus|kbd [18]),
1543
	.kbd_23(\zkbdmus|kbd [23]),
1544
	.kbd_17(\zkbdmus|kbd [17]),
1545
	.kbd_22(\zkbdmus|kbd [22]),
1546
	.kbd_21(\zkbdmus|kbd [21]),
1547
	.kbd_16(\zkbdmus|kbd [16]),
1548
	.kbd_19(\zkbdmus|kbd [19]),
1549
	.kbd_20(\zkbdmus|kbd [20]),
1550
	.kbd_10(\zkbdmus|kbd [10]),
1551
	.kbd_15(\zkbdmus|kbd [15]),
1552
	.kbd_9(\zkbdmus|kbd [9]),
1553
	.kbd_14(\zkbdmus|kbd [14]),
1554
	.kbd_13(\zkbdmus|kbd [13]),
1555
	.kbd_8(\zkbdmus|kbd [8]),
1556
	.kbd_11(\zkbdmus|kbd [11]),
1557
	.kbd_12(\zkbdmus|kbd [12]),
1558
	.kbd_2(\zkbdmus|kbd [2]),
1559
	.kbd_7(\zkbdmus|kbd [7]),
1560
	.kbd_1(\zkbdmus|kbd [1]),
1561
	.kbd_6(\zkbdmus|kbd [6]),
1562
	.kbd_5(\zkbdmus|kbd [5]),
1563
	.kbd_0(\zkbdmus|kbd [0]),
1564
	.kbd_3(\zkbdmus|kbd [3]),
1565
	.kbd_4(\zkbdmus|kbd [4]),
1566
	.wait_write_6(\zports|wait_write [6]),
1567
	.gluclock_addr_6(\zports|gluclock_addr [6]),
1568
	.wait_write_7(\zports|wait_write [7]),
1569
	.gluclock_addr_7(\zports|gluclock_addr [7]),
1570
	.wait_rnw(\zports|wait_rnw ),
1571
	.Selector62(\zports|Selector6~1407 ),
1572
	.Selector52(\zports|Selector5~1407 ),
1573
	.Selector42(\zports|Selector4~1407 ),
1574
	.Selector32(\zports|Selector3~1407 ),
1575
	.Selector22(\zports|Selector2~1467 ),
1576
	.Selector12(\zports|Selector1~1399 ),
1577
	.a_14(\a~dataout [14]),
1578
	.a_15(\a~dataout [15]),
1579
	.rd_n(\rd_n~dataout ),
1580
	.wr_n(\wr_n~dataout ),
1581
	.iorq_n(\iorq_n~dataout ),
1582
	.a_3(\a~dataout [3]),
1583
	.a_4(\a~dataout [4]),
1584
	.a_0(\a~dataout [0]),
1585
	.a_1(\a~dataout [1]),
1586
	.a_2(\a~dataout [2]),
1587
	.a_6(\a~dataout [6]),
1588
	.a_5(\a~dataout [5]),
1589
	.a_7(\a~dataout [7]),
1590
	.fclk(\fclk~dataout ),
1591
	.zclk(\clkz_in~dataout ),
1592
	.a_8(\a~dataout [8]),
1593
	.a_11(\a~dataout [11]),
1594
	.a_12(\a~dataout [12]),
1595
	.a_13(\a~dataout [13]),
1596
	.a_10(\a~dataout [10]),
1597
	.a_9(\a~dataout [9]),
1598
	.d_0(\d[0]~7 ),
1599
	.d_1(\d[1]~6 ),
1600
	.d_2(\d[2]~5 ),
1601
	.d_3(\d[3]~4 ),
1602
	.d_4(\d[4]~3 ),
1603
	.d_5(\d[5]~2 ),
1604
	.d_6(\d[6]~1 ),
1605
	.d_7(\d[7]~0 ),
1606
	.ide_d_0(\ide_d[0]~15 ),
1607
	.ide_d_1(\ide_d[1]~14 ),
1608
	.ide_d_2(\ide_d[2]~13 ),
1609
	.ide_d_3(\ide_d[3]~12 ),
1610
	.ide_d_4(\ide_d[4]~11 ),
1611
	.ide_d_5(\ide_d[5]~10 ),
1612
	.ide_d_6(\ide_d[6]~9 ),
1613
	.ide_d_7(\ide_d[7]~8 ),
1614
	.ide_d_8(\ide_d[8]~7 ),
1615
	.ide_d_9(\ide_d[9]~6 ),
1616
	.ide_d_10(\ide_d[10]~5 ),
1617
	.ide_d_11(\ide_d[11]~4 ),
1618
	.ide_d_12(\ide_d[12]~3 ),
1619
	.ide_d_13(\ide_d[13]~2 ),
1620
	.ide_d_14(\ide_d[14]~1 ),
1621
	.ide_d_15(\ide_d[15]~0 ),
1622
	.sd_start1(\zports|sd_start~_wirecell ),
1623
	.ide_rd_n1(\zports|ide_rd_n~66 ),
1624
	.devpor(devpor),
1625
	.devclrn(devclrn),
1626
	.devoe(devoe));
1627
 
1628
zint preryv(
1629
	.int_n(\preryv|int_n ),
1630
	.m1_n(\m1_n~9 ),
1631
	.int_start(\vert_sync|int_start ),
1632
	.fclk(\fclk~dataout ),
1633
	.zclk(\clkz_in~dataout ),
1634
	.devpor(devpor),
1635
	.devclrn(devclrn),
1636
	.devoe(devoe));
1637
 
1638
zwait zwait_1(
1639
	.rst_out_n(\myrst|rst_out_n ),
1640
	.waits_1(\zwait|waits [1]),
1641
	.waits_0(\zwait|waits [0]),
1642
	.WideNor0(\zwait|WideNor0 ),
1643
	.spics_n_sync_1(\slavespi|spics_n_sync [1]),
1644
	.spics_n_sync_2(\slavespi|spics_n_sync [2]),
1645
	.sel_waitreg(\slavespi|sel_waitreg~8 ),
1646
	.wait_start_comport(\zports|wait_start_comport~22 ),
1647
	.wait_start_gluclock(\zports|wait_start_gluclock~58 ),
1648
	.WideNor01(\zwait|WideNor0~1 ),
1649
	.devpor(devpor),
1650
	.devclrn(devclrn),
1651
	.devoe(devoe));
1652
 
1653
vg93 vgshka(
1654
	.rst_n(\myrst|rst_out_n ),
1655
	.always0(\zports|always0~81 ),
1656
	.vgclk_div4_1(\vgshka|vgclk_div4 [1]),
1657
	.vg_res_n(\vgshka|vg_res_n ),
1658
	.vg_hrdy(\vgshka|vg_hrdy ),
1659
	.vg_rclk(\vgshka|vg_rclk ),
1660
	.vg_rawr(\vgshka|vg_rawr ),
1661
	.vg_a_0(\vgshka|vg_a [0]),
1662
	.vg_a_1(\vgshka|vg_a [1]),
1663
	.vg_wrd(\vgshka|vg_wrd ),
1664
	.vg_side(\vgshka|vg_side ),
1665
	.port_wr(\zports|port_wr ),
1666
	.vg_wrFF(\zports|vg_wrFF ),
1667
	.drq_sync_1(\vgshka|drq_sync [1]),
1668
	.intrq_sync_1(\vgshka|intrq_sync [1]),
1669
	.fclk(\fclk~dataout ),
1670
	.zclk(\clkz_in~dataout ),
1671
	.step(\step~dataout ),
1672
	.vg_drq(\vg_drq~dataout ),
1673
	.vg_wd(\vg_wd~dataout ),
1674
	.vg_irq(\vg_irq~dataout ),
1675
	.rdat_b_n(\rdat_b_n~dataout ),
1676
	.vg_sr(\vg_sr~dataout ),
1677
	.vg_sl(\vg_sl~dataout ),
1678
	.vg_tr43(\vg_tr43~dataout ),
1679
	.d_0(\d[0]~7 ),
1680
	.d_1(\d[1]~6 ),
1681
	.d_2(\d[2]~5 ),
1682
	.d_3(\d[3]~4 ),
1683
	.d_4(\d[4]~3 ),
1684
	.devpor(devpor),
1685
	.devclrn(devclrn),
1686
	.devoe(devoe));
1687
 
1688
spi2 zspi(
1689
	.shiftout_7(\zspi|shiftout [7]),
1690
	.q_0(\zspi|counter_rtl_1|wysi_counter|q [0]),
1691
	.sd_stgl_2(\zports|sd_stgl [2]),
1692
	.sd_stgl_1(\zports|sd_stgl [1]),
1693
	.sd_start(\zports|sd_start ),
1694
	.dout_0(\zspi|dout [0]),
1695
	.dout_1(\zspi|dout [1]),
1696
	.dout_2(\zspi|dout [2]),
1697
	.dout_3(\zspi|dout [3]),
1698
	.dout_4(\zspi|dout [4]),
1699
	.dout_5(\zspi|dout [5]),
1700
	.dout_6(\zspi|dout [6]),
1701
	.dout_7(\zspi|dout [7]),
1702
	.wr_n(\wr_n~dataout ),
1703
	.clock(\fclk~dataout ),
1704
	.sddi(\sddi~dataout ),
1705
	.d_0(\d[0]~7 ),
1706
	.d_1(\d[1]~6 ),
1707
	.d_2(\d[2]~5 ),
1708
	.d_3(\d[3]~4 ),
1709
	.d_4(\d[4]~3 ),
1710
	.d_5(\d[5]~2 ),
1711
	.d_6(\d[6]~1 ),
1712
	.d_7(\d[7]~0 ),
1713
	.sd_start1(\zports|sd_start~_wirecell ),
1714
	.devpor(devpor),
1715
	.devclrn(devclrn),
1716
	.devoe(devoe));
1717
 
1718
// atom is at PIN_24
1719
flex10ke_io \a[14]~I (
1720
	.datain(gnd),
1721
	.clk(gnd),
1722
	.ena(vcc),
1723
	.aclr(gnd),
1724
	.oe(gnd),
1725
	.devclrn(devclrn),
1726
	.devpor(devpor),
1727
	.devoe(devoe),
1728
	.dataout(\a~dataout [14]),
1729
	.padio(a[14]));
1730
// synopsys translate_off
1731
defparam \a[14]~I .feedback_mode = "from_pin";
1732
defparam \a[14]~I .operation_mode = "input";
1733
defparam \a[14]~I .reg_source_mode = "none";
1734
// synopsys translate_on
1735
 
1736
// atom is at PIN_19
1737
flex10ke_io \a[15]~I (
1738
	.datain(gnd),
1739
	.clk(gnd),
1740
	.ena(vcc),
1741
	.aclr(gnd),
1742
	.oe(gnd),
1743
	.devclrn(devclrn),
1744
	.devpor(devpor),
1745
	.devoe(devoe),
1746
	.dataout(\a~dataout [15]),
1747
	.padio(a[15]));
1748
// synopsys translate_off
1749
defparam \a[15]~I .feedback_mode = "from_pin";
1750
defparam \a[15]~I .operation_mode = "input";
1751
defparam \a[15]~I .reg_source_mode = "none";
1752
// synopsys translate_on
1753
 
1754
// atom is at PIN_8
1755
flex10ke_io \mreq_n~I (
1756
	.datain(gnd),
1757
	.clk(gnd),
1758
	.ena(vcc),
1759
	.aclr(gnd),
1760
	.oe(gnd),
1761
	.devclrn(devclrn),
1762
	.devpor(devpor),
1763
	.devoe(devoe),
1764
	.dataout(\mreq_n~dataout ),
1765
	.padio(mreq_n));
1766
// synopsys translate_off
1767
defparam \mreq_n~I .feedback_mode = "from_pin";
1768
defparam \mreq_n~I .operation_mode = "input";
1769
defparam \mreq_n~I .reg_source_mode = "none";
1770
// synopsys translate_on
1771
 
1772
// atom is at PIN_140
1773
flex10ke_io \wr_n~I (
1774
	.datain(gnd),
1775
	.clk(gnd),
1776
	.ena(vcc),
1777
	.aclr(gnd),
1778
	.oe(gnd),
1779
	.devclrn(devclrn),
1780
	.devpor(devpor),
1781
	.devoe(devoe),
1782
	.dataout(\wr_n~dataout ),
1783
	.padio(wr_n));
1784
// synopsys translate_off
1785
defparam \wr_n~I .feedback_mode = "from_pin";
1786
defparam \wr_n~I .operation_mode = "input";
1787
defparam \wr_n~I .reg_source_mode = "none";
1788
// synopsys translate_on
1789
 
1790
// atom is at PIN_44
1791
flex10ke_io \a[0]~I (
1792
	.datain(gnd),
1793
	.clk(gnd),
1794
	.ena(vcc),
1795
	.aclr(gnd),
1796
	.oe(gnd),
1797
	.devclrn(devclrn),
1798
	.devpor(devpor),
1799
	.devoe(devoe),
1800
	.dataout(\a~dataout [0]),
1801
	.padio(a[0]));
1802
// synopsys translate_off
1803
defparam \a[0]~I .feedback_mode = "from_pin";
1804
defparam \a[0]~I .operation_mode = "input";
1805
defparam \a[0]~I .reg_source_mode = "none";
1806
// synopsys translate_on
1807
 
1808
// atom is at PIN_41
1809
flex10ke_io \a[1]~I (
1810
	.datain(gnd),
1811
	.clk(gnd),
1812
	.ena(vcc),
1813
	.aclr(gnd),
1814
	.oe(gnd),
1815
	.devclrn(devclrn),
1816
	.devpor(devpor),
1817
	.devoe(devoe),
1818
	.dataout(\a~dataout [1]),
1819
	.padio(a[1]));
1820
// synopsys translate_off
1821
defparam \a[1]~I .feedback_mode = "from_pin";
1822
defparam \a[1]~I .operation_mode = "input";
1823
defparam \a[1]~I .reg_source_mode = "none";
1824
// synopsys translate_on
1825
 
1826
// atom is at PIN_40
1827
flex10ke_io \a[2]~I (
1828
	.datain(gnd),
1829
	.clk(gnd),
1830
	.ena(vcc),
1831
	.aclr(gnd),
1832
	.oe(gnd),
1833
	.devclrn(devclrn),
1834
	.devpor(devpor),
1835
	.devoe(devoe),
1836
	.dataout(\a~dataout [2]),
1837
	.padio(a[2]));
1838
// synopsys translate_off
1839
defparam \a[2]~I .feedback_mode = "from_pin";
1840
defparam \a[2]~I .operation_mode = "input";
1841
defparam \a[2]~I .reg_source_mode = "none";
1842
// synopsys translate_on
1843
 
1844
// atom is at PIN_78
1845
flex10ke_io \iorqge1~I (
1846
	.datain(gnd),
1847
	.clk(gnd),
1848
	.ena(vcc),
1849
	.aclr(gnd),
1850
	.oe(gnd),
1851
	.devclrn(devclrn),
1852
	.devpor(devpor),
1853
	.devoe(devoe),
1854
	.dataout(\iorqge1~dataout ),
1855
	.padio(iorqge1));
1856
// synopsys translate_off
1857
defparam \iorqge1~I .feedback_mode = "from_pin";
1858
defparam \iorqge1~I .operation_mode = "input";
1859
defparam \iorqge1~I .reg_source_mode = "none";
1860
// synopsys translate_on
1861
 
1862
// atom is at PIN_79
1863
flex10ke_io \clkz_in~I (
1864
	.datain(gnd),
1865
	.clk(gnd),
1866
	.ena(vcc),
1867
	.aclr(gnd),
1868
	.oe(gnd),
1869
	.devclrn(devclrn),
1870
	.devpor(devpor),
1871
	.devoe(devoe),
1872
	.dataout(\clkz_in~dataout ),
1873
	.padio(clkz_in));
1874
// synopsys translate_off
1875
defparam \clkz_in~I .feedback_mode = "from_pin";
1876
defparam \clkz_in~I .operation_mode = "input";
1877
defparam \clkz_in~I .reg_source_mode = "none";
1878
// synopsys translate_on
1879
 
1880
// atom is at LC8_A2
1881
flex10ke_lcell \WideOr0~82_I (
1882
// Equation(s):
1883
// \WideOr0~82  = !dos_exec_stb1 & !dos_exec_stb1 & !dos_exec_stb1 & !dos_exec_stb1
1884
 
1885
	.dataa(\instantiate_atm_pagers[0].atm_pager|dos_exec_stb~123 ),
1886
	.datab(\instantiate_atm_pagers[2].atm_pager|dos_exec_stb~67 ),
1887
	.datac(\instantiate_atm_pagers[1].atm_pager|dos_exec_stb~67 ),
1888
	.datad(\instantiate_atm_pagers[3].atm_pager|dos_exec_stb~67 ),
1889
	.aclr(gnd),
1890
	.aload(gnd),
1891
	.clk(gnd),
1892
	.cin(gnd),
1893
	.cascin(vcc),
1894
	.devclrn(devclrn),
1895
	.devpor(devpor),
1896
	.combout(\WideOr0~82 ),
1897
	.regout(),
1898
	.cout(),
1899
	.cascout());
1900
// synopsys translate_off
1901
defparam \WideOr0~82_I .clock_enable_mode = "false";
1902
defparam \WideOr0~82_I .lut_mask = "0001";
1903
defparam \WideOr0~82_I .operation_mode = "normal";
1904
defparam \WideOr0~82_I .output_mode = "comb_only";
1905
defparam \WideOr0~82_I .packed_mode = "false";
1906
// synopsys translate_on
1907
 
1908
// atom is at PIN_147
1909
flex10ke_io \rfsh_n~I (
1910
	.datain(gnd),
1911
	.clk(gnd),
1912
	.ena(vcc),
1913
	.aclr(gnd),
1914
	.oe(gnd),
1915
	.devclrn(devclrn),
1916
	.devpor(devpor),
1917
	.devoe(devoe),
1918
	.dataout(\rfsh_n~dataout ),
1919
	.padio(rfsh_n));
1920
// synopsys translate_off
1921
defparam \rfsh_n~I .feedback_mode = "from_pin";
1922
defparam \rfsh_n~I .operation_mode = "input";
1923
defparam \rfsh_n~I .reg_source_mode = "none";
1924
// synopsys translate_on
1925
 
1926
// atom is at PIN_30
1927
flex10ke_io \a[8]~I (
1928
	.datain(gnd),
1929
	.clk(gnd),
1930
	.ena(vcc),
1931
	.aclr(gnd),
1932
	.oe(gnd),
1933
	.devclrn(devclrn),
1934
	.devpor(devpor),
1935
	.devoe(devoe),
1936
	.dataout(\a~dataout [8]),
1937
	.padio(a[8]));
1938
// synopsys translate_off
1939
defparam \a[8]~I .feedback_mode = "from_pin";
1940
defparam \a[8]~I .operation_mode = "input";
1941
defparam \a[8]~I .reg_source_mode = "none";
1942
// synopsys translate_on
1943
 
1944
// atom is at LC8_A8
1945
flex10ke_lcell \WideOr0~83_I (
1946
// Equation(s):
1947
// \WideOr0~83  = stall_count_2 # stall_count_2
1948
 
1949
	.dataa(vcc),
1950
	.datab(vcc),
1951
	.datac(\instantiate_atm_pagers[3].atm_pager|stall_count [2]),
1952
	.datad(\instantiate_atm_pagers[2].atm_pager|stall_count [2]),
1953
	.aclr(gnd),
1954
	.aload(gnd),
1955
	.clk(gnd),
1956
	.cin(gnd),
1957
	.cascin(vcc),
1958
	.devclrn(devclrn),
1959
	.devpor(devpor),
1960
	.combout(\WideOr0~83 ),
1961
	.regout(),
1962
	.cout(),
1963
	.cascout());
1964
// synopsys translate_off
1965
defparam \WideOr0~83_I .clock_enable_mode = "false";
1966
defparam \WideOr0~83_I .lut_mask = "fff0";
1967
defparam \WideOr0~83_I .operation_mode = "normal";
1968
defparam \WideOr0~83_I .output_mode = "comb_only";
1969
defparam \WideOr0~83_I .packed_mode = "false";
1970
// synopsys translate_on
1971
 
1972
// atom is at LC1_A2
1973
flex10ke_lcell \WideOr0~I (
1974
// Equation(s):
1975
// WideOr0 = \WideOr0~83  # stall_count_2 # stall_count_2 # !\WideOr0~82 
1976
 
1977
	.dataa(\WideOr0~82 ),
1978
	.datab(\WideOr0~83 ),
1979
	.datac(\instantiate_atm_pagers[1].atm_pager|stall_count [2]),
1980
	.datad(\instantiate_atm_pagers[0].atm_pager|stall_count [2]),
1981
	.aclr(gnd),
1982
	.aload(gnd),
1983
	.clk(gnd),
1984
	.cin(gnd),
1985
	.cascin(vcc),
1986
	.devclrn(devclrn),
1987
	.devpor(devpor),
1988
	.combout(WideOr0),
1989
	.regout(),
1990
	.cout(),
1991
	.cascout());
1992
// synopsys translate_off
1993
defparam \WideOr0~I .clock_enable_mode = "false";
1994
defparam \WideOr0~I .lut_mask = "fffd";
1995
defparam \WideOr0~I .operation_mode = "normal";
1996
defparam \WideOr0~I .output_mode = "comb_only";
1997
defparam \WideOr0~I .packed_mode = "false";
1998
// synopsys translate_on
1999
 
2000
// atom is at PIN_27
2001
flex10ke_io \a[11]~I (
2002
	.datain(gnd),
2003
	.clk(gnd),
2004
	.ena(vcc),
2005
	.aclr(gnd),
2006
	.oe(gnd),
2007
	.devclrn(devclrn),
2008
	.devpor(devpor),
2009
	.devoe(devoe),
2010
	.dataout(\a~dataout [11]),
2011
	.padio(a[11]));
2012
// synopsys translate_off
2013
defparam \a[11]~I .feedback_mode = "from_pin";
2014
defparam \a[11]~I .operation_mode = "input";
2015
defparam \a[11]~I .reg_source_mode = "none";
2016
// synopsys translate_on
2017
 
2018
// atom is at PIN_26
2019
flex10ke_io \a[12]~I (
2020
	.datain(gnd),
2021
	.clk(gnd),
2022
	.ena(vcc),
2023
	.aclr(gnd),
2024
	.oe(gnd),
2025
	.devclrn(devclrn),
2026
	.devpor(devpor),
2027
	.devoe(devoe),
2028
	.dataout(\a~dataout [12]),
2029
	.padio(a[12]));
2030
// synopsys translate_off
2031
defparam \a[12]~I .feedback_mode = "from_pin";
2032
defparam \a[12]~I .operation_mode = "input";
2033
defparam \a[12]~I .reg_source_mode = "none";
2034
// synopsys translate_on
2035
 
2036
// atom is at PIN_25
2037
flex10ke_io \a[13]~I (
2038
	.datain(gnd),
2039
	.clk(gnd),
2040
	.ena(vcc),
2041
	.aclr(gnd),
2042
	.oe(gnd),
2043
	.devclrn(devclrn),
2044
	.devpor(devpor),
2045
	.devoe(devoe),
2046
	.dataout(\a~dataout [13]),
2047
	.padio(a[13]));
2048
// synopsys translate_off
2049
defparam \a[13]~I .feedback_mode = "from_pin";
2050
defparam \a[13]~I .operation_mode = "input";
2051
defparam \a[13]~I .reg_source_mode = "none";
2052
// synopsys translate_on
2053
 
2054
// atom is at PIN_28
2055
flex10ke_io \a[10]~I (
2056
	.datain(gnd),
2057
	.clk(gnd),
2058
	.ena(vcc),
2059
	.aclr(gnd),
2060
	.oe(gnd),
2061
	.devclrn(devclrn),
2062
	.devpor(devpor),
2063
	.devoe(devoe),
2064
	.dataout(\a~dataout [10]),
2065
	.padio(a[10]));
2066
// synopsys translate_off
2067
defparam \a[10]~I .feedback_mode = "from_pin";
2068
defparam \a[10]~I .operation_mode = "input";
2069
defparam \a[10]~I .reg_source_mode = "none";
2070
// synopsys translate_on
2071
 
2072
// atom is at PIN_29
2073
flex10ke_io \a[9]~I (
2074
	.datain(gnd),
2075
	.clk(gnd),
2076
	.ena(vcc),
2077
	.aclr(gnd),
2078
	.oe(gnd),
2079
	.devclrn(devclrn),
2080
	.devpor(devpor),
2081
	.devoe(devoe),
2082
	.dataout(\a~dataout [9]),
2083
	.padio(a[9]));
2084
// synopsys translate_off
2085
defparam \a[9]~I .feedback_mode = "from_pin";
2086
defparam \a[9]~I .operation_mode = "input";
2087
defparam \a[9]~I .reg_source_mode = "none";
2088
// synopsys translate_on
2089
 
2090
// atom is at PIN_182
2091
flex10ke_io \spics_n~I (
2092
	.datain(gnd),
2093
	.clk(gnd),
2094
	.ena(vcc),
2095
	.aclr(gnd),
2096
	.oe(gnd),
2097
	.devclrn(devclrn),
2098
	.devpor(devpor),
2099
	.devoe(devoe),
2100
	.dataout(\spics_n~dataout ),
2101
	.padio(spics_n));
2102
// synopsys translate_off
2103
defparam \spics_n~I .feedback_mode = "from_pin";
2104
defparam \spics_n~I .operation_mode = "input";
2105
defparam \spics_n~I .reg_source_mode = "none";
2106
// synopsys translate_on
2107
 
2108
// atom is at PIN_184
2109
flex10ke_io \spick~I (
2110
	.datain(gnd),
2111
	.clk(gnd),
2112
	.ena(vcc),
2113
	.aclr(gnd),
2114
	.oe(gnd),
2115
	.devclrn(devclrn),
2116
	.devpor(devpor),
2117
	.devoe(devoe),
2118
	.dataout(\spick~dataout ),
2119
	.padio(spick));
2120
// synopsys translate_off
2121
defparam \spick~I .feedback_mode = "from_pin";
2122
defparam \spick~I .operation_mode = "input";
2123
defparam \spick~I .reg_source_mode = "none";
2124
// synopsys translate_on
2125
 
2126
// atom is at PIN_157
2127
flex10ke_io \spido~I (
2128
	.datain(gnd),
2129
	.clk(gnd),
2130
	.ena(vcc),
2131
	.aclr(gnd),
2132
	.oe(gnd),
2133
	.devclrn(devclrn),
2134
	.devpor(devpor),
2135
	.devoe(devoe),
2136
	.dataout(\spido~dataout ),
2137
	.padio(spido));
2138
// synopsys translate_off
2139
defparam \spido~I .feedback_mode = "from_pin";
2140
defparam \spido~I .operation_mode = "input";
2141
defparam \spido~I .reg_source_mode = "none";
2142
// synopsys translate_on
2143
 
2144
// atom is at PIN_46
2145
flex10ke_io \step~I (
2146
	.datain(gnd),
2147
	.clk(gnd),
2148
	.ena(vcc),
2149
	.aclr(gnd),
2150
	.oe(gnd),
2151
	.devclrn(devclrn),
2152
	.devpor(devpor),
2153
	.devoe(devoe),
2154
	.dataout(\step~dataout ),
2155
	.padio(step));
2156
// synopsys translate_off
2157
defparam \step~I .feedback_mode = "from_pin";
2158
defparam \step~I .operation_mode = "input";
2159
defparam \step~I .reg_source_mode = "none";
2160
// synopsys translate_on
2161
 
2162
// atom is at PIN_63
2163
flex10ke_io \vg_drq~I (
2164
	.datain(gnd),
2165
	.clk(gnd),
2166
	.ena(vcc),
2167
	.aclr(gnd),
2168
	.oe(gnd),
2169
	.devclrn(devclrn),
2170
	.devpor(devpor),
2171
	.devoe(devoe),
2172
	.dataout(\vg_drq~dataout ),
2173
	.padio(vg_drq));
2174
// synopsys translate_off
2175
defparam \vg_drq~I .feedback_mode = "from_pin";
2176
defparam \vg_drq~I .operation_mode = "input";
2177
defparam \vg_drq~I .reg_source_mode = "none";
2178
// synopsys translate_on
2179
 
2180
// atom is at PIN_61
2181
flex10ke_io \vg_wd~I (
2182
	.datain(gnd),
2183
	.clk(gnd),
2184
	.ena(vcc),
2185
	.aclr(gnd),
2186
	.oe(gnd),
2187
	.devclrn(devclrn),
2188
	.devpor(devpor),
2189
	.devoe(devoe),
2190
	.dataout(\vg_wd~dataout ),
2191
	.padio(vg_wd));
2192
// synopsys translate_off
2193
defparam \vg_wd~I .feedback_mode = "from_pin";
2194
defparam \vg_wd~I .operation_mode = "input";
2195
defparam \vg_wd~I .reg_source_mode = "none";
2196
// synopsys translate_on
2197
 
2198
// atom is at PIN_64
2199
flex10ke_io \vg_irq~I (
2200
	.datain(gnd),
2201
	.clk(gnd),
2202
	.ena(vcc),
2203
	.aclr(gnd),
2204
	.oe(gnd),
2205
	.devclrn(devclrn),
2206
	.devpor(devpor),
2207
	.devoe(devoe),
2208
	.dataout(\vg_irq~dataout ),
2209
	.padio(vg_irq));
2210
// synopsys translate_off
2211
defparam \vg_irq~I .feedback_mode = "from_pin";
2212
defparam \vg_irq~I .operation_mode = "input";
2213
defparam \vg_irq~I .reg_source_mode = "none";
2214
// synopsys translate_on
2215
 
2216
// atom is at PIN_68
2217
flex10ke_io \rdat_b_n~I (
2218
	.datain(gnd),
2219
	.clk(gnd),
2220
	.ena(vcc),
2221
	.aclr(gnd),
2222
	.oe(gnd),
2223
	.devclrn(devclrn),
2224
	.devpor(devpor),
2225
	.devoe(devoe),
2226
	.dataout(\rdat_b_n~dataout ),
2227
	.padio(rdat_b_n));
2228
// synopsys translate_off
2229
defparam \rdat_b_n~I .feedback_mode = "from_pin";
2230
defparam \rdat_b_n~I .operation_mode = "input";
2231
defparam \rdat_b_n~I .reg_source_mode = "none";
2232
// synopsys translate_on
2233
 
2234
// atom is at PIN_53
2235
flex10ke_io \vg_sr~I (
2236
	.datain(gnd),
2237
	.clk(gnd),
2238
	.ena(vcc),
2239
	.aclr(gnd),
2240
	.oe(gnd),
2241
	.devclrn(devclrn),
2242
	.devpor(devpor),
2243
	.devoe(devoe),
2244
	.dataout(\vg_sr~dataout ),
2245
	.padio(vg_sr));
2246
// synopsys translate_off
2247
defparam \vg_sr~I .feedback_mode = "from_pin";
2248
defparam \vg_sr~I .operation_mode = "input";
2249
defparam \vg_sr~I .reg_source_mode = "none";
2250
// synopsys translate_on
2251
 
2252
// atom is at PIN_47
2253
flex10ke_io \vg_sl~I (
2254
	.datain(gnd),
2255
	.clk(gnd),
2256
	.ena(vcc),
2257
	.aclr(gnd),
2258
	.oe(gnd),
2259
	.devclrn(devclrn),
2260
	.devpor(devpor),
2261
	.devoe(devoe),
2262
	.dataout(\vg_sl~dataout ),
2263
	.padio(vg_sl));
2264
// synopsys translate_off
2265
defparam \vg_sl~I .feedback_mode = "from_pin";
2266
defparam \vg_sl~I .operation_mode = "input";
2267
defparam \vg_sl~I .reg_source_mode = "none";
2268
// synopsys translate_on
2269
 
2270
// atom is at PIN_60
2271
flex10ke_io \vg_tr43~I (
2272
	.datain(gnd),
2273
	.clk(gnd),
2274
	.ena(vcc),
2275
	.aclr(gnd),
2276
	.oe(gnd),
2277
	.devclrn(devclrn),
2278
	.devpor(devpor),
2279
	.devoe(devoe),
2280
	.dataout(\vg_tr43~dataout ),
2281
	.padio(vg_tr43));
2282
// synopsys translate_off
2283
defparam \vg_tr43~I .feedback_mode = "from_pin";
2284
defparam \vg_tr43~I .operation_mode = "input";
2285
defparam \vg_tr43~I .reg_source_mode = "none";
2286
// synopsys translate_on
2287
 
2288
// atom is at PIN_115
2289
flex10ke_io \sddi~I (
2290
	.datain(gnd),
2291
	.clk(gnd),
2292
	.ena(vcc),
2293
	.aclr(gnd),
2294
	.oe(gnd),
2295
	.devclrn(devclrn),
2296
	.devpor(devpor),
2297
	.devoe(devoe),
2298
	.dataout(\sddi~dataout ),
2299
	.padio(sddi));
2300
// synopsys translate_off
2301
defparam \sddi~I .feedback_mode = "from_pin";
2302
defparam \sddi~I .operation_mode = "input";
2303
defparam \sddi~I .reg_source_mode = "none";
2304
// synopsys translate_on
2305
 
2306
// atom is at PIN_187
2307
flex10ke_io \rwe_n~I (
2308
	.datain(\dram|rwe_n~COMB ),
2309
	.clk(\fclk~dataout ),
2310
	.ena(vcc),
2311
	.aclr(gnd),
2312
	.oe(vcc),
2313
	.devclrn(devclrn),
2314
	.devpor(devpor),
2315
	.devoe(devoe),
2316
	.dataout(\dram|rwe_n ),
2317
	.padio(rwe_n));
2318
// synopsys translate_off
2319
defparam \rwe_n~I .feedback_mode = "from_reg";
2320
defparam \rwe_n~I .operation_mode = "output";
2321
defparam \rwe_n~I .reg_source_mode = "data_in_to_pin";
2322
// synopsys translate_on
2323
 
2324
// atom is at PIN_186
2325
flex10ke_io \rucas_n~I (
2326
	.datain(\dram|rucas_n~COMB ),
2327
	.clk(\fclk~dataout ),
2328
	.ena(vcc),
2329
	.aclr(gnd),
2330
	.oe(vcc),
2331
	.devclrn(devclrn),
2332
	.devpor(devpor),
2333
	.devoe(devoe),
2334
	.dataout(\dram|rucas_n ),
2335
	.padio(rucas_n));
2336
// synopsys translate_off
2337
defparam \rucas_n~I .feedback_mode = "from_reg";
2338
defparam \rucas_n~I .operation_mode = "output";
2339
defparam \rucas_n~I .reg_source_mode = "data_in_to_pin";
2340
// synopsys translate_on
2341
 
2342
// atom is at PIN_189
2343
flex10ke_io \rlcas_n~I (
2344
	.datain(\dram|rlcas_n~COMB ),
2345
	.clk(\fclk~dataout ),
2346
	.ena(vcc),
2347
	.aclr(gnd),
2348
	.oe(vcc),
2349
	.devclrn(devclrn),
2350
	.devpor(devpor),
2351
	.devoe(devoe),
2352
	.dataout(\dram|rlcas_n ),
2353
	.padio(rlcas_n));
2354
// synopsys translate_off
2355
defparam \rlcas_n~I .feedback_mode = "from_reg";
2356
defparam \rlcas_n~I .operation_mode = "output";
2357
defparam \rlcas_n~I .reg_source_mode = "data_in_to_pin";
2358
// synopsys translate_on
2359
 
2360
// atom is at PIN_190
2361
flex10ke_io \rras0_n~I (
2362
	.datain(\dram|rras0_n~COMB ),
2363
	.clk(\fclk~dataout ),
2364
	.ena(vcc),
2365
	.aclr(gnd),
2366
	.oe(vcc),
2367
	.devclrn(devclrn),
2368
	.devpor(devpor),
2369
	.devoe(devoe),
2370
	.dataout(\dram|rras0_n ),
2371
	.padio(rras0_n));
2372
// synopsys translate_off
2373
defparam \rras0_n~I .feedback_mode = "from_reg";
2374
defparam \rras0_n~I .operation_mode = "output";
2375
defparam \rras0_n~I .reg_source_mode = "data_in_to_pin";
2376
// synopsys translate_on
2377
 
2378
// atom is at PIN_168
2379
flex10ke_io \rras1_n~I (
2380
	.datain(\dram|rras1_n~COMB ),
2381
	.clk(\fclk~dataout ),
2382
	.ena(vcc),
2383
	.aclr(gnd),
2384
	.oe(vcc),
2385
	.devclrn(devclrn),
2386
	.devpor(devpor),
2387
	.devoe(devoe),
2388
	.dataout(\dram|rras1_n ),
2389
	.padio(rras1_n));
2390
// synopsys translate_off
2391
defparam \rras1_n~I .feedback_mode = "from_reg";
2392
defparam \rras1_n~I .operation_mode = "output";
2393
defparam \rras1_n~I .reg_source_mode = "data_in_to_pin";
2394
// synopsys translate_on
2395
 
2396
// atom is at PIN_18
2397
flex10ke_io \d[0]~I (
2398
	.datain(\d~4438 ),
2399
	.clk(gnd),
2400
	.ena(vcc),
2401
	.aclr(gnd),
2402
	.oe(\d~25 ),
2403
	.devclrn(devclrn),
2404
	.devpor(devpor),
2405
	.devoe(devoe),
2406
	.dataout(\d[0]~7 ),
2407
	.padio(d[0]));
2408
// synopsys translate_off
2409
defparam \d[0]~I .feedback_mode = "from_pin";
2410
defparam \d[0]~I .operation_mode = "bidir";
2411
defparam \d[0]~I .reg_source_mode = "none";
2412
// synopsys translate_on
2413
 
2414
// atom is at PIN_17
2415
flex10ke_io \d[1]~I (
2416
	.datain(\d~4441 ),
2417
	.clk(gnd),
2418
	.ena(vcc),
2419
	.aclr(gnd),
2420
	.oe(\d~25 ),
2421
	.devclrn(devclrn),
2422
	.devpor(devpor),
2423
	.devoe(devoe),
2424
	.dataout(\d[1]~6 ),
2425
	.padio(d[1]));
2426
// synopsys translate_off
2427
defparam \d[1]~I .feedback_mode = "from_pin";
2428
defparam \d[1]~I .operation_mode = "bidir";
2429
defparam \d[1]~I .reg_source_mode = "none";
2430
// synopsys translate_on
2431
 
2432
// atom is at PIN_16
2433
flex10ke_io \d[2]~I (
2434
	.datain(\d~4444 ),
2435
	.clk(gnd),
2436
	.ena(vcc),
2437
	.aclr(gnd),
2438
	.oe(\d~25 ),
2439
	.devclrn(devclrn),
2440
	.devpor(devpor),
2441
	.devoe(devoe),
2442
	.dataout(\d[2]~5 ),
2443
	.padio(d[2]));
2444
// synopsys translate_off
2445
defparam \d[2]~I .feedback_mode = "from_pin";
2446
defparam \d[2]~I .operation_mode = "bidir";
2447
defparam \d[2]~I .reg_source_mode = "none";
2448
// synopsys translate_on
2449
 
2450
// atom is at PIN_15
2451
flex10ke_io \d[3]~I (
2452
	.datain(\d~4447 ),
2453
	.clk(gnd),
2454
	.ena(vcc),
2455
	.aclr(gnd),
2456
	.oe(\d~25 ),
2457
	.devclrn(devclrn),
2458
	.devpor(devpor),
2459
	.devoe(devoe),
2460
	.dataout(\d[3]~4 ),
2461
	.padio(d[3]));
2462
// synopsys translate_off
2463
defparam \d[3]~I .feedback_mode = "from_pin";
2464
defparam \d[3]~I .operation_mode = "bidir";
2465
defparam \d[3]~I .reg_source_mode = "none";
2466
// synopsys translate_on
2467
 
2468
// atom is at PIN_14
2469
flex10ke_io \d[4]~I (
2470
	.datain(\d~4450 ),
2471
	.clk(gnd),
2472
	.ena(vcc),
2473
	.aclr(gnd),
2474
	.oe(\d~25 ),
2475
	.devclrn(devclrn),
2476
	.devpor(devpor),
2477
	.devoe(devoe),
2478
	.dataout(\d[4]~3 ),
2479
	.padio(d[4]));
2480
// synopsys translate_off
2481
defparam \d[4]~I .feedback_mode = "from_pin";
2482
defparam \d[4]~I .operation_mode = "bidir";
2483
defparam \d[4]~I .reg_source_mode = "none";
2484
// synopsys translate_on
2485
 
2486
// atom is at PIN_13
2487
flex10ke_io \d[5]~I (
2488
	.datain(\d~4453 ),
2489
	.clk(gnd),
2490
	.ena(vcc),
2491
	.aclr(gnd),
2492
	.oe(\d~25 ),
2493
	.devclrn(devclrn),
2494
	.devpor(devpor),
2495
	.devoe(devoe),
2496
	.dataout(\d[5]~2 ),
2497
	.padio(d[5]));
2498
// synopsys translate_off
2499
defparam \d[5]~I .feedback_mode = "from_pin";
2500
defparam \d[5]~I .operation_mode = "bidir";
2501
defparam \d[5]~I .reg_source_mode = "none";
2502
// synopsys translate_on
2503
 
2504
// atom is at PIN_12
2505
flex10ke_io \d[6]~I (
2506
	.datain(\d~4456 ),
2507
	.clk(gnd),
2508
	.ena(vcc),
2509
	.aclr(gnd),
2510
	.oe(\d~25 ),
2511
	.devclrn(devclrn),
2512
	.devpor(devpor),
2513
	.devoe(devoe),
2514
	.dataout(\d[6]~1 ),
2515
	.padio(d[6]));
2516
// synopsys translate_off
2517
defparam \d[6]~I .feedback_mode = "from_pin";
2518
defparam \d[6]~I .operation_mode = "bidir";
2519
defparam \d[6]~I .reg_source_mode = "none";
2520
// synopsys translate_on
2521
 
2522
// atom is at PIN_11
2523
flex10ke_io \d[7]~I (
2524
	.datain(\d~4459 ),
2525
	.clk(gnd),
2526
	.ena(vcc),
2527
	.aclr(gnd),
2528
	.oe(\d~25 ),
2529
	.devclrn(devclrn),
2530
	.devpor(devpor),
2531
	.devoe(devoe),
2532
	.dataout(\d[7]~0 ),
2533
	.padio(d[7]));
2534
// synopsys translate_off
2535
defparam \d[7]~I .feedback_mode = "from_pin";
2536
defparam \d[7]~I .operation_mode = "bidir";
2537
defparam \d[7]~I .reg_source_mode = "none";
2538
// synopsys translate_on
2539
 
2540
// atom is at PIN_208
2541
flex10ke_io \rd[0]~I (
2542
	.datain(\dram|int_wrdata [0]),
2543
	.clk(gnd),
2544
	.ena(vcc),
2545
	.aclr(gnd),
2546
	.oe(!\dram|rwe_n ),
2547
	.devclrn(devclrn),
2548
	.devpor(devpor),
2549
	.devoe(devoe),
2550
	.dataout(\rd[0]~15 ),
2551
	.padio(rd[0]));
2552
// synopsys translate_off
2553
defparam \rd[0]~I .feedback_mode = "from_pin";
2554
defparam \rd[0]~I .operation_mode = "bidir";
2555
defparam \rd[0]~I .reg_source_mode = "none";
2556
// synopsys translate_on
2557
 
2558
// atom is at PIN_206
2559
flex10ke_io \rd[1]~I (
2560
	.datain(\dram|int_wrdata [1]),
2561
	.clk(gnd),
2562
	.ena(vcc),
2563
	.aclr(gnd),
2564
	.oe(!\dram|rwe_n ),
2565
	.devclrn(devclrn),
2566
	.devpor(devpor),
2567
	.devoe(devoe),
2568
	.dataout(\rd[1]~14 ),
2569
	.padio(rd[1]));
2570
// synopsys translate_off
2571
defparam \rd[1]~I .feedback_mode = "from_pin";
2572
defparam \rd[1]~I .operation_mode = "bidir";
2573
defparam \rd[1]~I .reg_source_mode = "none";
2574
// synopsys translate_on
2575
 
2576
// atom is at PIN_204
2577
flex10ke_io \rd[2]~I (
2578
	.datain(\dram|int_wrdata [2]),
2579
	.clk(gnd),
2580
	.ena(vcc),
2581
	.aclr(gnd),
2582
	.oe(!\dram|rwe_n ),
2583
	.devclrn(devclrn),
2584
	.devpor(devpor),
2585
	.devoe(devoe),
2586
	.dataout(\rd[2]~13 ),
2587
	.padio(rd[2]));
2588
// synopsys translate_off
2589
defparam \rd[2]~I .feedback_mode = "from_pin";
2590
defparam \rd[2]~I .operation_mode = "bidir";
2591
defparam \rd[2]~I .reg_source_mode = "none";
2592
// synopsys translate_on
2593
 
2594
// atom is at PIN_202
2595
flex10ke_io \rd[3]~I (
2596
	.datain(\dram|int_wrdata [3]),
2597
	.clk(gnd),
2598
	.ena(vcc),
2599
	.aclr(gnd),
2600
	.oe(!\dram|rwe_n ),
2601
	.devclrn(devclrn),
2602
	.devpor(devpor),
2603
	.devoe(devoe),
2604
	.dataout(\rd[3]~12 ),
2605
	.padio(rd[3]));
2606
// synopsys translate_off
2607
defparam \rd[3]~I .feedback_mode = "from_pin";
2608
defparam \rd[3]~I .operation_mode = "bidir";
2609
defparam \rd[3]~I .reg_source_mode = "none";
2610
// synopsys translate_on
2611
 
2612
// atom is at PIN_199
2613
flex10ke_io \rd[4]~I (
2614
	.datain(\dram|int_wrdata [4]),
2615
	.clk(gnd),
2616
	.ena(vcc),
2617
	.aclr(gnd),
2618
	.oe(!\dram|rwe_n ),
2619
	.devclrn(devclrn),
2620
	.devpor(devpor),
2621
	.devoe(devoe),
2622
	.dataout(\rd[4]~11 ),
2623
	.padio(rd[4]));
2624
// synopsys translate_off
2625
defparam \rd[4]~I .feedback_mode = "from_pin";
2626
defparam \rd[4]~I .operation_mode = "bidir";
2627
defparam \rd[4]~I .reg_source_mode = "none";
2628
// synopsys translate_on
2629
 
2630
// atom is at PIN_197
2631
flex10ke_io \rd[5]~I (
2632
	.datain(\dram|int_wrdata [5]),
2633
	.clk(gnd),
2634
	.ena(vcc),
2635
	.aclr(gnd),
2636
	.oe(!\dram|rwe_n ),
2637
	.devclrn(devclrn),
2638
	.devpor(devpor),
2639
	.devoe(devoe),
2640
	.dataout(\rd[5]~10 ),
2641
	.padio(rd[5]));
2642
// synopsys translate_off
2643
defparam \rd[5]~I .feedback_mode = "from_pin";
2644
defparam \rd[5]~I .operation_mode = "bidir";
2645
defparam \rd[5]~I .reg_source_mode = "none";
2646
// synopsys translate_on
2647
 
2648
// atom is at PIN_195
2649
flex10ke_io \rd[6]~I (
2650
	.datain(\dram|int_wrdata [6]),
2651
	.clk(gnd),
2652
	.ena(vcc),
2653
	.aclr(gnd),
2654
	.oe(!\dram|rwe_n ),
2655
	.devclrn(devclrn),
2656
	.devpor(devpor),
2657
	.devoe(devoe),
2658
	.dataout(\rd[6]~9 ),
2659
	.padio(rd[6]));
2660
// synopsys translate_off
2661
defparam \rd[6]~I .feedback_mode = "from_pin";
2662
defparam \rd[6]~I .operation_mode = "bidir";
2663
defparam \rd[6]~I .reg_source_mode = "none";
2664
// synopsys translate_on
2665
 
2666
// atom is at PIN_192
2667
flex10ke_io \rd[7]~I (
2668
	.datain(\dram|int_wrdata [7]),
2669
	.clk(gnd),
2670
	.ena(vcc),
2671
	.aclr(gnd),
2672
	.oe(!\dram|rwe_n ),
2673
	.devclrn(devclrn),
2674
	.devpor(devpor),
2675
	.devoe(devoe),
2676
	.dataout(\rd[7]~8 ),
2677
	.padio(rd[7]));
2678
// synopsys translate_off
2679
defparam \rd[7]~I .feedback_mode = "from_pin";
2680
defparam \rd[7]~I .operation_mode = "bidir";
2681
defparam \rd[7]~I .reg_source_mode = "none";
2682
// synopsys translate_on
2683
 
2684
// atom is at PIN_191
2685
flex10ke_io \rd[8]~I (
2686
	.datain(\dram|int_wrdata[0]~24 ),
2687
	.clk(gnd),
2688
	.ena(vcc),
2689
	.aclr(gnd),
2690
	.oe(!\dram|rwe_n ),
2691
	.devclrn(devclrn),
2692
	.devpor(devpor),
2693
	.devoe(devoe),
2694
	.dataout(\rd[8]~7 ),
2695
	.padio(rd[8]));
2696
// synopsys translate_off
2697
defparam \rd[8]~I .feedback_mode = "from_pin";
2698
defparam \rd[8]~I .operation_mode = "bidir";
2699
defparam \rd[8]~I .reg_source_mode = "none";
2700
// synopsys translate_on
2701
 
2702
// atom is at PIN_193
2703
flex10ke_io \rd[9]~I (
2704
	.datain(\dram|int_wrdata[1]~25 ),
2705
	.clk(gnd),
2706
	.ena(vcc),
2707
	.aclr(gnd),
2708
	.oe(!\dram|rwe_n ),
2709
	.devclrn(devclrn),
2710
	.devpor(devpor),
2711
	.devoe(devoe),
2712
	.dataout(\rd[9]~6 ),
2713
	.padio(rd[9]));
2714
// synopsys translate_off
2715
defparam \rd[9]~I .feedback_mode = "from_pin";
2716
defparam \rd[9]~I .operation_mode = "bidir";
2717
defparam \rd[9]~I .reg_source_mode = "none";
2718
// synopsys translate_on
2719
 
2720
// atom is at PIN_196
2721
flex10ke_io \rd[10]~I (
2722
	.datain(\dram|int_wrdata[2]~26 ),
2723
	.clk(gnd),
2724
	.ena(vcc),
2725
	.aclr(gnd),
2726
	.oe(!\dram|rwe_n ),
2727
	.devclrn(devclrn),
2728
	.devpor(devpor),
2729
	.devoe(devoe),
2730
	.dataout(\rd[10]~5 ),
2731
	.padio(rd[10]));
2732
// synopsys translate_off
2733
defparam \rd[10]~I .feedback_mode = "from_pin";
2734
defparam \rd[10]~I .operation_mode = "bidir";
2735
defparam \rd[10]~I .reg_source_mode = "none";
2736
// synopsys translate_on
2737
 
2738
// atom is at PIN_198
2739
flex10ke_io \rd[11]~I (
2740
	.datain(\dram|int_wrdata[3]~27 ),
2741
	.clk(gnd),
2742
	.ena(vcc),
2743
	.aclr(gnd),
2744
	.oe(!\dram|rwe_n ),
2745
	.devclrn(devclrn),
2746
	.devpor(devpor),
2747
	.devoe(devoe),
2748
	.dataout(\rd[11]~4 ),
2749
	.padio(rd[11]));
2750
// synopsys translate_off
2751
defparam \rd[11]~I .feedback_mode = "from_pin";
2752
defparam \rd[11]~I .operation_mode = "bidir";
2753
defparam \rd[11]~I .reg_source_mode = "none";
2754
// synopsys translate_on
2755
 
2756
// atom is at PIN_200
2757
flex10ke_io \rd[12]~I (
2758
	.datain(\dram|int_wrdata[4]~28 ),
2759
	.clk(gnd),
2760
	.ena(vcc),
2761
	.aclr(gnd),
2762
	.oe(!\dram|rwe_n ),
2763
	.devclrn(devclrn),
2764
	.devpor(devpor),
2765
	.devoe(devoe),
2766
	.dataout(\rd[12]~3 ),
2767
	.padio(rd[12]));
2768
// synopsys translate_off
2769
defparam \rd[12]~I .feedback_mode = "from_pin";
2770
defparam \rd[12]~I .operation_mode = "bidir";
2771
defparam \rd[12]~I .reg_source_mode = "none";
2772
// synopsys translate_on
2773
 
2774
// atom is at PIN_203
2775
flex10ke_io \rd[13]~I (
2776
	.datain(\dram|int_wrdata[5]~29 ),
2777
	.clk(gnd),
2778
	.ena(vcc),
2779
	.aclr(gnd),
2780
	.oe(!\dram|rwe_n ),
2781
	.devclrn(devclrn),
2782
	.devpor(devpor),
2783
	.devoe(devoe),
2784
	.dataout(\rd[13]~2 ),
2785
	.padio(rd[13]));
2786
// synopsys translate_off
2787
defparam \rd[13]~I .feedback_mode = "from_pin";
2788
defparam \rd[13]~I .operation_mode = "bidir";
2789
defparam \rd[13]~I .reg_source_mode = "none";
2790
// synopsys translate_on
2791
 
2792
// atom is at PIN_205
2793
flex10ke_io \rd[14]~I (
2794
	.datain(\dram|int_wrdata[6]~30 ),
2795
	.clk(gnd),
2796
	.ena(vcc),
2797
	.aclr(gnd),
2798
	.oe(!\dram|rwe_n ),
2799
	.devclrn(devclrn),
2800
	.devpor(devpor),
2801
	.devoe(devoe),
2802
	.dataout(\rd[14]~1 ),
2803
	.padio(rd[14]));
2804
// synopsys translate_off
2805
defparam \rd[14]~I .feedback_mode = "from_pin";
2806
defparam \rd[14]~I .operation_mode = "bidir";
2807
defparam \rd[14]~I .reg_source_mode = "none";
2808
// synopsys translate_on
2809
 
2810
// atom is at PIN_207
2811
flex10ke_io \rd[15]~I (
2812
	.datain(\dram|int_wrdata[7]~31 ),
2813
	.clk(gnd),
2814
	.ena(vcc),
2815
	.aclr(gnd),
2816
	.oe(!\dram|rwe_n ),
2817
	.devclrn(devclrn),
2818
	.devpor(devpor),
2819
	.devoe(devoe),
2820
	.dataout(\rd[15]~0 ),
2821
	.padio(rd[15]));
2822
// synopsys translate_off
2823
defparam \rd[15]~I .feedback_mode = "from_pin";
2824
defparam \rd[15]~I .operation_mode = "bidir";
2825
defparam \rd[15]~I .reg_source_mode = "none";
2826
// synopsys translate_on
2827
 
2828
// atom is at PIN_96
2829
flex10ke_io \ide_d[0]~I (
2830
	.datain(\zports|ideout[0]~64 ),
2831
	.clk(gnd),
2832
	.ena(vcc),
2833
	.aclr(gnd),
2834
	.oe(\zports|ide_rd_n~64 ),
2835
	.devclrn(devclrn),
2836
	.devpor(devpor),
2837
	.devoe(devoe),
2838
	.dataout(\ide_d[0]~15 ),
2839
	.padio(ide_d[0]));
2840
// synopsys translate_off
2841
defparam \ide_d[0]~I .feedback_mode = "from_pin";
2842
defparam \ide_d[0]~I .operation_mode = "bidir";
2843
defparam \ide_d[0]~I .reg_source_mode = "none";
2844
// synopsys translate_on
2845
 
2846
// atom is at PIN_94
2847
flex10ke_io \ide_d[1]~I (
2848
	.datain(\zports|ideout[1]~65 ),
2849
	.clk(gnd),
2850
	.ena(vcc),
2851
	.aclr(gnd),
2852
	.oe(\zports|ide_rd_n~64 ),
2853
	.devclrn(devclrn),
2854
	.devpor(devpor),
2855
	.devoe(devoe),
2856
	.dataout(\ide_d[1]~14 ),
2857
	.padio(ide_d[1]));
2858
// synopsys translate_off
2859
defparam \ide_d[1]~I .feedback_mode = "from_pin";
2860
defparam \ide_d[1]~I .operation_mode = "bidir";
2861
defparam \ide_d[1]~I .reg_source_mode = "none";
2862
// synopsys translate_on
2863
 
2864
// atom is at PIN_92
2865
flex10ke_io \ide_d[2]~I (
2866
	.datain(\zports|ideout[2]~66 ),
2867
	.clk(gnd),
2868
	.ena(vcc),
2869
	.aclr(gnd),
2870
	.oe(\zports|ide_rd_n~64 ),
2871
	.devclrn(devclrn),
2872
	.devpor(devpor),
2873
	.devoe(devoe),
2874
	.dataout(\ide_d[2]~13 ),
2875
	.padio(ide_d[2]));
2876
// synopsys translate_off
2877
defparam \ide_d[2]~I .feedback_mode = "from_pin";
2878
defparam \ide_d[2]~I .operation_mode = "bidir";
2879
defparam \ide_d[2]~I .reg_source_mode = "none";
2880
// synopsys translate_on
2881
 
2882
// atom is at PIN_89
2883
flex10ke_io \ide_d[3]~I (
2884
	.datain(\zports|ideout[3]~67 ),
2885
	.clk(gnd),
2886
	.ena(vcc),
2887
	.aclr(gnd),
2888
	.oe(\zports|ide_rd_n~64 ),
2889
	.devclrn(devclrn),
2890
	.devpor(devpor),
2891
	.devoe(devoe),
2892
	.dataout(\ide_d[3]~12 ),
2893
	.padio(ide_d[3]));
2894
// synopsys translate_off
2895
defparam \ide_d[3]~I .feedback_mode = "from_pin";
2896
defparam \ide_d[3]~I .operation_mode = "bidir";
2897
defparam \ide_d[3]~I .reg_source_mode = "none";
2898
// synopsys translate_on
2899
 
2900
// atom is at PIN_87
2901
flex10ke_io \ide_d[4]~I (
2902
	.datain(\zports|ideout[4]~68 ),
2903
	.clk(gnd),
2904
	.ena(vcc),
2905
	.aclr(gnd),
2906
	.oe(\zports|ide_rd_n~64 ),
2907
	.devclrn(devclrn),
2908
	.devpor(devpor),
2909
	.devoe(devoe),
2910
	.dataout(\ide_d[4]~11 ),
2911
	.padio(ide_d[4]));
2912
// synopsys translate_off
2913
defparam \ide_d[4]~I .feedback_mode = "from_pin";
2914
defparam \ide_d[4]~I .operation_mode = "bidir";
2915
defparam \ide_d[4]~I .reg_source_mode = "none";
2916
// synopsys translate_on
2917
 
2918
// atom is at PIN_85
2919
flex10ke_io \ide_d[5]~I (
2920
	.datain(\zports|ideout[5]~69 ),
2921
	.clk(gnd),
2922
	.ena(vcc),
2923
	.aclr(gnd),
2924
	.oe(\zports|ide_rd_n~64 ),
2925
	.devclrn(devclrn),
2926
	.devpor(devpor),
2927
	.devoe(devoe),
2928
	.dataout(\ide_d[5]~10 ),
2929
	.padio(ide_d[5]));
2930
// synopsys translate_off
2931
defparam \ide_d[5]~I .feedback_mode = "from_pin";
2932
defparam \ide_d[5]~I .operation_mode = "bidir";
2933
defparam \ide_d[5]~I .reg_source_mode = "none";
2934
// synopsys translate_on
2935
 
2936
// atom is at PIN_75
2937
flex10ke_io \ide_d[6]~I (
2938
	.datain(\zports|ideout[6]~70 ),
2939
	.clk(gnd),
2940
	.ena(vcc),
2941
	.aclr(gnd),
2942
	.oe(\zports|ide_rd_n~64 ),
2943
	.devclrn(devclrn),
2944
	.devpor(devpor),
2945
	.devoe(devoe),
2946
	.dataout(\ide_d[6]~9 ),
2947
	.padio(ide_d[6]));
2948
// synopsys translate_off
2949
defparam \ide_d[6]~I .feedback_mode = "from_pin";
2950
defparam \ide_d[6]~I .operation_mode = "bidir";
2951
defparam \ide_d[6]~I .reg_source_mode = "none";
2952
// synopsys translate_on
2953
 
2954
// atom is at PIN_73
2955
flex10ke_io \ide_d[7]~I (
2956
	.datain(\zports|ideout[7]~71 ),
2957
	.clk(gnd),
2958
	.ena(vcc),
2959
	.aclr(gnd),
2960
	.oe(\zports|ide_rd_n~64 ),
2961
	.devclrn(devclrn),
2962
	.devpor(devpor),
2963
	.devoe(devoe),
2964
	.dataout(\ide_d[7]~8 ),
2965
	.padio(ide_d[7]));
2966
// synopsys translate_off
2967
defparam \ide_d[7]~I .feedback_mode = "from_pin";
2968
defparam \ide_d[7]~I .operation_mode = "bidir";
2969
defparam \ide_d[7]~I .reg_source_mode = "none";
2970
// synopsys translate_on
2971
 
2972
// atom is at PIN_71
2973
flex10ke_io \ide_d[8]~I (
2974
	.datain(\zports|ideout[8]~72 ),
2975
	.clk(gnd),
2976
	.ena(vcc),
2977
	.aclr(gnd),
2978
	.oe(\zports|ide_rd_n~64 ),
2979
	.devclrn(devclrn),
2980
	.devpor(devpor),
2981
	.devoe(devoe),
2982
	.dataout(\ide_d[8]~7 ),
2983
	.padio(ide_d[8]));
2984
// synopsys translate_off
2985
defparam \ide_d[8]~I .feedback_mode = "from_pin";
2986
defparam \ide_d[8]~I .operation_mode = "bidir";
2987
defparam \ide_d[8]~I .reg_source_mode = "none";
2988
// synopsys translate_on
2989
 
2990
// atom is at PIN_74
2991
flex10ke_io \ide_d[9]~I (
2992
	.datain(\zports|ideout[9]~73 ),
2993
	.clk(gnd),
2994
	.ena(vcc),
2995
	.aclr(gnd),
2996
	.oe(\zports|ide_rd_n~64 ),
2997
	.devclrn(devclrn),
2998
	.devpor(devpor),
2999
	.devoe(devoe),
3000
	.dataout(\ide_d[9]~6 ),
3001
	.padio(ide_d[9]));
3002
// synopsys translate_off
3003
defparam \ide_d[9]~I .feedback_mode = "from_pin";
3004
defparam \ide_d[9]~I .operation_mode = "bidir";
3005
defparam \ide_d[9]~I .reg_source_mode = "none";
3006
// synopsys translate_on
3007
 
3008
// atom is at PIN_83
3009
flex10ke_io \ide_d[10]~I (
3010
	.datain(\zports|ideout[10]~74 ),
3011
	.clk(gnd),
3012
	.ena(vcc),
3013
	.aclr(gnd),
3014
	.oe(\zports|ide_rd_n~64 ),
3015
	.devclrn(devclrn),
3016
	.devpor(devpor),
3017
	.devoe(devoe),
3018
	.dataout(\ide_d[10]~5 ),
3019
	.padio(ide_d[10]));
3020
// synopsys translate_off
3021
defparam \ide_d[10]~I .feedback_mode = "from_pin";
3022
defparam \ide_d[10]~I .operation_mode = "bidir";
3023
defparam \ide_d[10]~I .reg_source_mode = "none";
3024
// synopsys translate_on
3025
 
3026
// atom is at PIN_86
3027
flex10ke_io \ide_d[11]~I (
3028
	.datain(\zports|ideout[11]~75 ),
3029
	.clk(gnd),
3030
	.ena(vcc),
3031
	.aclr(gnd),
3032
	.oe(\zports|ide_rd_n~64 ),
3033
	.devclrn(devclrn),
3034
	.devpor(devpor),
3035
	.devoe(devoe),
3036
	.dataout(\ide_d[11]~4 ),
3037
	.padio(ide_d[11]));
3038
// synopsys translate_off
3039
defparam \ide_d[11]~I .feedback_mode = "from_pin";
3040
defparam \ide_d[11]~I .operation_mode = "bidir";
3041
defparam \ide_d[11]~I .reg_source_mode = "none";
3042
// synopsys translate_on
3043
 
3044
// atom is at PIN_88
3045
flex10ke_io \ide_d[12]~I (
3046
	.datain(\zports|ideout[12]~76 ),
3047
	.clk(gnd),
3048
	.ena(vcc),
3049
	.aclr(gnd),
3050
	.oe(\zports|ide_rd_n~64 ),
3051
	.devclrn(devclrn),
3052
	.devpor(devpor),
3053
	.devoe(devoe),
3054
	.dataout(\ide_d[12]~3 ),
3055
	.padio(ide_d[12]));
3056
// synopsys translate_off
3057
defparam \ide_d[12]~I .feedback_mode = "from_pin";
3058
defparam \ide_d[12]~I .operation_mode = "bidir";
3059
defparam \ide_d[12]~I .reg_source_mode = "none";
3060
// synopsys translate_on
3061
 
3062
// atom is at PIN_90
3063
flex10ke_io \ide_d[13]~I (
3064
	.datain(\zports|ideout[13]~77 ),
3065
	.clk(gnd),
3066
	.ena(vcc),
3067
	.aclr(gnd),
3068
	.oe(\zports|ide_rd_n~64 ),
3069
	.devclrn(devclrn),
3070
	.devpor(devpor),
3071
	.devoe(devoe),
3072
	.dataout(\ide_d[13]~2 ),
3073
	.padio(ide_d[13]));
3074
// synopsys translate_off
3075
defparam \ide_d[13]~I .feedback_mode = "from_pin";
3076
defparam \ide_d[13]~I .operation_mode = "bidir";
3077
defparam \ide_d[13]~I .reg_source_mode = "none";
3078
// synopsys translate_on
3079
 
3080
// atom is at PIN_93
3081
flex10ke_io \ide_d[14]~I (
3082
	.datain(\zports|ideout[14]~78 ),
3083
	.clk(gnd),
3084
	.ena(vcc),
3085
	.aclr(gnd),
3086
	.oe(\zports|ide_rd_n~64 ),
3087
	.devclrn(devclrn),
3088
	.devpor(devpor),
3089
	.devoe(devoe),
3090
	.dataout(\ide_d[14]~1 ),
3091
	.padio(ide_d[14]));
3092
// synopsys translate_off
3093
defparam \ide_d[14]~I .feedback_mode = "from_pin";
3094
defparam \ide_d[14]~I .operation_mode = "bidir";
3095
defparam \ide_d[14]~I .reg_source_mode = "none";
3096
// synopsys translate_on
3097
 
3098
// atom is at PIN_95
3099
flex10ke_io \ide_d[15]~I (
3100
	.datain(\zports|ideout[15]~79 ),
3101
	.clk(gnd),
3102
	.ena(vcc),
3103
	.aclr(gnd),
3104
	.oe(\zports|ide_rd_n~64 ),
3105
	.devclrn(devclrn),
3106
	.devpor(devpor),
3107
	.devoe(devoe),
3108
	.dataout(\ide_d[15]~0 ),
3109
	.padio(ide_d[15]));
3110
// synopsys translate_off
3111
defparam \ide_d[15]~I .feedback_mode = "from_pin";
3112
defparam \ide_d[15]~I .operation_mode = "bidir";
3113
defparam \ide_d[15]~I .reg_source_mode = "none";
3114
// synopsys translate_on
3115
 
3116
// atom is at PIN_183
3117
flex10ke_io \fclk~I (
3118
	.datain(gnd),
3119
	.clk(gnd),
3120
	.ena(vcc),
3121
	.aclr(gnd),
3122
	.oe(gnd),
3123
	.devclrn(devclrn),
3124
	.devpor(devpor),
3125
	.devoe(devoe),
3126
	.dataout(\fclk~dataout ),
3127
	.padio(fclk));
3128
// synopsys translate_off
3129
defparam \fclk~I .feedback_mode = "from_pin";
3130
defparam \fclk~I .operation_mode = "input";
3131
defparam \fclk~I .reg_source_mode = "none";
3132
// synopsys translate_on
3133
 
3134
// atom is at LC6_E3
3135
flex10ke_lcell \ayclk_gen_rtl_0|wysi_counter|counter_cell[0] (
3136
// Equation(s):
3137
// \ayclk_gen_rtl_0|wysi_counter|counter_cell[0]~COUT  = CARRY()
3138
 
3139
	.dataa(vcc),
3140
	.datab(vcc),
3141
	.datac(vcc),
3142
	.datad(vcc),
3143
	.aclr(gnd),
3144
	.aload(gnd),
3145
	.clk(\fclk~dataout ),
3146
	.cin(gnd),
3147
	.cascin(vcc),
3148
	.devclrn(devclrn),
3149
	.devpor(devpor),
3150
	.combout(),
3151
	.regout(\ayclk_gen_rtl_0|wysi_counter|q [0]),
3152
	.cout(\ayclk_gen_rtl_0|wysi_counter|counter_cell[0]~COUT ),
3153
	.cascout());
3154
// synopsys translate_off
3155
defparam \ayclk_gen_rtl_0|wysi_counter|counter_cell[0] .clock_enable_mode = "false";
3156
defparam \ayclk_gen_rtl_0|wysi_counter|counter_cell[0] .lut_mask = "33aa";
3157
defparam \ayclk_gen_rtl_0|wysi_counter|counter_cell[0] .operation_mode = "up_dn_cntr";
3158
defparam \ayclk_gen_rtl_0|wysi_counter|counter_cell[0] .output_mode = "none";
3159
defparam \ayclk_gen_rtl_0|wysi_counter|counter_cell[0] .packed_mode = "false";
3160
// synopsys translate_on
3161
 
3162
// atom is at LC7_E3
3163
flex10ke_lcell \ayclk_gen_rtl_0|wysi_counter|counter_cell[1] (
3164
// Equation(s):
3165
// \ayclk_gen_rtl_0|wysi_counter|counter_cell[1]~COUT  = CARRY( & (\ayclk_gen_rtl_0|wysi_counter|counter_cell[0]~COUT ))
3166
 
3167
	.dataa(vcc),
3168
	.datab(vcc),
3169
	.datac(vcc),
3170
	.datad(vcc),
3171
	.aclr(gnd),
3172
	.aload(gnd),
3173
	.clk(\fclk~dataout ),
3174
	.cin(\ayclk_gen_rtl_0|wysi_counter|counter_cell[0]~COUT ),
3175
	.cascin(vcc),
3176
	.devclrn(devclrn),
3177
	.devpor(devpor),
3178
	.combout(),
3179
	.regout(\ayclk_gen_rtl_0|wysi_counter|q [1]),
3180
	.cout(\ayclk_gen_rtl_0|wysi_counter|counter_cell[1]~COUT ),
3181
	.cascout());
3182
// synopsys translate_off
3183
defparam \ayclk_gen_rtl_0|wysi_counter|counter_cell[1] .cin_used = "true";
3184
defparam \ayclk_gen_rtl_0|wysi_counter|counter_cell[1] .clock_enable_mode = "false";
3185
defparam \ayclk_gen_rtl_0|wysi_counter|counter_cell[1] .lut_mask = "3ca0";
3186
defparam \ayclk_gen_rtl_0|wysi_counter|counter_cell[1] .operation_mode = "up_dn_cntr";
3187
defparam \ayclk_gen_rtl_0|wysi_counter|counter_cell[1] .output_mode = "none";
3188
defparam \ayclk_gen_rtl_0|wysi_counter|counter_cell[1] .packed_mode = "false";
3189
// synopsys translate_on
3190
 
3191
// atom is at LC8_E3
3192
flex10ke_lcell \ayclk_gen_rtl_0|wysi_counter|counter_cell[2] (
3193
// Equation(s):
3194
// \ayclk_gen_rtl_0|wysi_counter|counter_cell[2]~COUT  = CARRY( & (\ayclk_gen_rtl_0|wysi_counter|counter_cell[1]~COUT ))
3195
 
3196
	.dataa(vcc),
3197
	.datab(vcc),
3198
	.datac(vcc),
3199
	.datad(vcc),
3200
	.aclr(gnd),
3201
	.aload(gnd),
3202
	.clk(\fclk~dataout ),
3203
	.cin(\ayclk_gen_rtl_0|wysi_counter|counter_cell[1]~COUT ),
3204
	.cascin(vcc),
3205
	.devclrn(devclrn),
3206
	.devpor(devpor),
3207
	.combout(),
3208
	.regout(\ayclk_gen_rtl_0|wysi_counter|q [2]),
3209
	.cout(\ayclk_gen_rtl_0|wysi_counter|counter_cell[2]~COUT ),
3210
	.cascout());
3211
// synopsys translate_off
3212
defparam \ayclk_gen_rtl_0|wysi_counter|counter_cell[2] .cin_used = "true";
3213
defparam \ayclk_gen_rtl_0|wysi_counter|counter_cell[2] .clock_enable_mode = "false";
3214
defparam \ayclk_gen_rtl_0|wysi_counter|counter_cell[2] .lut_mask = "3ca0";
3215
defparam \ayclk_gen_rtl_0|wysi_counter|counter_cell[2] .operation_mode = "up_dn_cntr";
3216
defparam \ayclk_gen_rtl_0|wysi_counter|counter_cell[2] .output_mode = "none";
3217
defparam \ayclk_gen_rtl_0|wysi_counter|counter_cell[2] .packed_mode = "false";
3218
// synopsys translate_on
3219
 
3220
// atom is at LC1_E5
3221
flex10ke_lcell \ayclk_gen_rtl_0|wysi_counter|counter_cell[3] (
3222
// Equation(s):
3223
// \ayclk_gen_rtl_0|wysi_counter|q [3] = DFFEA(\ayclk_gen_rtl_0|wysi_counter|q [3] $ \ayclk_gen_rtl_0|wysi_counter|counter_cell[2]~COUT , GLOBAL(\fclk~dataout ), , , , , )
3224
 
3225
	.dataa(vcc),
3226
	.datab(vcc),
3227
	.datac(vcc),
3228
	.datad(vcc),
3229
	.aclr(gnd),
3230
	.aload(gnd),
3231
	.clk(\fclk~dataout ),
3232
	.cin(\ayclk_gen_rtl_0|wysi_counter|counter_cell[2]~COUT ),
3233
	.cascin(vcc),
3234
	.devclrn(devclrn),
3235
	.devpor(devpor),
3236
	.combout(),
3237
	.regout(\ayclk_gen_rtl_0|wysi_counter|q [3]),
3238
	.cout(),
3239
	.cascout());
3240
// synopsys translate_off
3241
defparam \ayclk_gen_rtl_0|wysi_counter|counter_cell[3] .cin_used = "true";
3242
defparam \ayclk_gen_rtl_0|wysi_counter|counter_cell[3] .clock_enable_mode = "false";
3243
defparam \ayclk_gen_rtl_0|wysi_counter|counter_cell[3] .lut_mask = "3c3c";
3244
defparam \ayclk_gen_rtl_0|wysi_counter|counter_cell[3] .operation_mode = "up_dn_cntr";
3245
defparam \ayclk_gen_rtl_0|wysi_counter|counter_cell[3] .output_mode = "reg_only";
3246
defparam \ayclk_gen_rtl_0|wysi_counter|counter_cell[3] .packed_mode = "false";
3247
// synopsys translate_on
3248
 
3249
// atom is at PIN_37
3250
flex10ke_io \a[5]~I (
3251
	.datain(gnd),
3252
	.clk(gnd),
3253
	.ena(vcc),
3254
	.aclr(gnd),
3255
	.oe(gnd),
3256
	.devclrn(devclrn),
3257
	.devpor(devpor),
3258
	.devoe(devoe),
3259
	.dataout(\a~dataout [5]),
3260
	.padio(a[5]));
3261
// synopsys translate_off
3262
defparam \a[5]~I .feedback_mode = "from_pin";
3263
defparam \a[5]~I .operation_mode = "input";
3264
defparam \a[5]~I .reg_source_mode = "none";
3265
// synopsys translate_on
3266
 
3267
// atom is at LC4_H1
3268
flex10ke_lcell \ide_a[0]~0_I (
3269
// Equation(s):
3270
// \ide_a[0]~0  = \a~dataout [5]
3271
 
3272
	.dataa(vcc),
3273
	.datab(vcc),
3274
	.datac(vcc),
3275
	.datad(\a~dataout [5]),
3276
	.aclr(gnd),
3277
	.aload(gnd),
3278
	.clk(gnd),
3279
	.cin(gnd),
3280
	.cascin(vcc),
3281
	.devclrn(devclrn),
3282
	.devpor(devpor),
3283
	.combout(\ide_a[0]~0 ),
3284
	.regout(),
3285
	.cout(),
3286
	.cascout());
3287
// synopsys translate_off
3288
defparam \ide_a[0]~0_I .clock_enable_mode = "false";
3289
defparam \ide_a[0]~0_I .lut_mask = "ff00";
3290
defparam \ide_a[0]~0_I .operation_mode = "normal";
3291
defparam \ide_a[0]~0_I .output_mode = "comb_only";
3292
defparam \ide_a[0]~0_I .packed_mode = "false";
3293
// synopsys translate_on
3294
 
3295
// atom is at PIN_36
3296
flex10ke_io \a[6]~I (
3297
	.datain(gnd),
3298
	.clk(gnd),
3299
	.ena(vcc),
3300
	.aclr(gnd),
3301
	.oe(gnd),
3302
	.devclrn(devclrn),
3303
	.devpor(devpor),
3304
	.devoe(devoe),
3305
	.dataout(\a~dataout [6]),
3306
	.padio(a[6]));
3307
// synopsys translate_off
3308
defparam \a[6]~I .feedback_mode = "from_pin";
3309
defparam \a[6]~I .operation_mode = "input";
3310
defparam \a[6]~I .reg_source_mode = "none";
3311
// synopsys translate_on
3312
 
3313
// atom is at LC3_H1
3314
flex10ke_lcell \ide_a[1]~1_I (
3315
// Equation(s):
3316
// \ide_a[1]~1  = \a~dataout [6]
3317
 
3318
	.dataa(vcc),
3319
	.datab(vcc),
3320
	.datac(vcc),
3321
	.datad(\a~dataout [6]),
3322
	.aclr(gnd),
3323
	.aload(gnd),
3324
	.clk(gnd),
3325
	.cin(gnd),
3326
	.cascin(vcc),
3327
	.devclrn(devclrn),
3328
	.devpor(devpor),
3329
	.combout(\ide_a[1]~1 ),
3330
	.regout(),
3331
	.cout(),
3332
	.cascout());
3333
// synopsys translate_off
3334
defparam \ide_a[1]~1_I .clock_enable_mode = "false";
3335
defparam \ide_a[1]~1_I .lut_mask = "ff00";
3336
defparam \ide_a[1]~1_I .operation_mode = "normal";
3337
defparam \ide_a[1]~1_I .output_mode = "comb_only";
3338
defparam \ide_a[1]~1_I .packed_mode = "false";
3339
// synopsys translate_on
3340
 
3341
// atom is at PIN_31
3342
flex10ke_io \a[7]~I (
3343
	.datain(gnd),
3344
	.clk(gnd),
3345
	.ena(vcc),
3346
	.aclr(gnd),
3347
	.oe(gnd),
3348
	.devclrn(devclrn),
3349
	.devpor(devpor),
3350
	.devoe(devoe),
3351
	.dataout(\a~dataout [7]),
3352
	.padio(a[7]));
3353
// synopsys translate_off
3354
defparam \a[7]~I .feedback_mode = "from_pin";
3355
defparam \a[7]~I .operation_mode = "input";
3356
defparam \a[7]~I .reg_source_mode = "none";
3357
// synopsys translate_on
3358
 
3359
// atom is at LC8_D21
3360
flex10ke_lcell \ide_a[2]~2_I (
3361
// Equation(s):
3362
// \ide_a[2]~2  = \a~dataout [7]
3363
 
3364
	.dataa(vcc),
3365
	.datab(vcc),
3366
	.datac(vcc),
3367
	.datad(\a~dataout [7]),
3368
	.aclr(gnd),
3369
	.aload(gnd),
3370
	.clk(gnd),
3371
	.cin(gnd),
3372
	.cascin(vcc),
3373
	.devclrn(devclrn),
3374
	.devpor(devpor),
3375
	.combout(\ide_a[2]~2 ),
3376
	.regout(),
3377
	.cout(),
3378
	.cascout());
3379
// synopsys translate_off
3380
defparam \ide_a[2]~2_I .clock_enable_mode = "false";
3381
defparam \ide_a[2]~2_I .lut_mask = "ff00";
3382
defparam \ide_a[2]~2_I .operation_mode = "normal";
3383
defparam \ide_a[2]~2_I .output_mode = "comb_only";
3384
defparam \ide_a[2]~2_I .packed_mode = "false";
3385
// synopsys translate_on
3386
 
3387
// atom is at LC5_J8
3388
flex10ke_lcell \ide_dir~0_I (
3389
// Equation(s):
3390
// \ide_dir~0  = ide_rd_n
3391
 
3392
	.dataa(vcc),
3393
	.datab(vcc),
3394
	.datac(vcc),
3395
	.datad(\zports|ide_rd_n~64 ),
3396
	.aclr(gnd),
3397
	.aload(gnd),
3398
	.clk(gnd),
3399
	.cin(gnd),
3400
	.cascin(vcc),
3401
	.devclrn(devclrn),
3402
	.devpor(devpor),
3403
	.combout(\ide_dir~0 ),
3404
	.regout(),
3405
	.cout(),
3406
	.cascout());
3407
// synopsys translate_off
3408
defparam \ide_dir~0_I .clock_enable_mode = "false";
3409
defparam \ide_dir~0_I .lut_mask = "ff00";
3410
defparam \ide_dir~0_I .operation_mode = "normal";
3411
defparam \ide_dir~0_I .output_mode = "comb_only";
3412
defparam \ide_dir~0_I .packed_mode = "false";
3413
// synopsys translate_on
3414
 
3415
// atom is at PIN_39
3416
flex10ke_io \a[3]~I (
3417
	.datain(gnd),
3418
	.clk(gnd),
3419
	.ena(vcc),
3420
	.aclr(gnd),
3421
	.oe(gnd),
3422
	.devclrn(devclrn),
3423
	.devpor(devpor),
3424
	.devoe(devoe),
3425
	.dataout(\a~dataout [3]),
3426
	.padio(a[3]));
3427
// synopsys translate_off
3428
defparam \a[3]~I .feedback_mode = "from_pin";
3429
defparam \a[3]~I .operation_mode = "input";
3430
defparam \a[3]~I .reg_source_mode = "none";
3431
// synopsys translate_on
3432
 
3433
// atom is at PIN_38
3434
flex10ke_io \a[4]~I (
3435
	.datain(gnd),
3436
	.clk(gnd),
3437
	.ena(vcc),
3438
	.aclr(gnd),
3439
	.oe(gnd),
3440
	.devclrn(devclrn),
3441
	.devpor(devpor),
3442
	.devoe(devoe),
3443
	.dataout(\a~dataout [4]),
3444
	.padio(a[4]));
3445
// synopsys translate_off
3446
defparam \a[4]~I .feedback_mode = "from_pin";
3447
defparam \a[4]~I .operation_mode = "input";
3448
defparam \a[4]~I .reg_source_mode = "none";
3449
// synopsys translate_on
3450
 
3451
// atom is at LC2_H5
3452
flex10ke_lcell \d~4437_I (
3453
// Equation(s):
3454
// \d~4437  = \a~dataout [4] & (\a~dataout [3] & !Selector72 # !\a~dataout [3] & (!Selector71))
3455
 
3456
	.dataa(\zports|Selector7~1899 ),
3457
	.datab(\zports|Selector7~1898 ),
3458
	.datac(\a~dataout [3]),
3459
	.datad(\a~dataout [4]),
3460
	.aclr(gnd),
3461
	.aload(gnd),
3462
	.clk(gnd),
3463
	.cin(gnd),
3464
	.cascin(vcc),
3465
	.devclrn(devclrn),
3466
	.devpor(devpor),
3467
	.combout(\d~4437 ),
3468
	.regout(),
3469
	.cout(),
3470
	.cascout());
3471
// synopsys translate_off
3472
defparam \d~4437_I .clock_enable_mode = "false";
3473
defparam \d~4437_I .lut_mask = "5300";
3474
defparam \d~4437_I .operation_mode = "normal";
3475
defparam \d~4437_I .output_mode = "comb_only";
3476
defparam \d~4437_I .packed_mode = "false";
3477
// synopsys translate_on
3478
 
3479
// atom is at LC1_H5
3480
flex10ke_lcell \d~4436_I (
3481
// Equation(s):
3482
// \d~4436  = !\a~dataout [4] & (Selector7 # !\a~dataout [3]) # !dataout
3483
 
3484
	.dataa(\zports|dataout~113 ),
3485
	.datab(\a~dataout [4]),
3486
	.datac(\a~dataout [3]),
3487
	.datad(\zports|Selector7~1858 ),
3488
	.aclr(gnd),
3489
	.aload(gnd),
3490
	.clk(gnd),
3491
	.cin(gnd),
3492
	.cascin(vcc),
3493
	.devclrn(devclrn),
3494
	.devpor(devpor),
3495
	.combout(\d~4436 ),
3496
	.regout(),
3497
	.cout(),
3498
	.cascout());
3499
// synopsys translate_off
3500
defparam \d~4436_I .clock_enable_mode = "false";
3501
defparam \d~4436_I .lut_mask = "7757";
3502
defparam \d~4436_I .operation_mode = "normal";
3503
defparam \d~4436_I .output_mode = "comb_only";
3504
defparam \d~4436_I .packed_mode = "false";
3505
// synopsys translate_on
3506
 
3507
// atom is at LC5_H5
3508
flex10ke_lcell \d~4438_I (
3509
// Equation(s):
3510
// \d~4438  = cpu_rnw & (zd_out_0) # !cpu_rnw & (\d~4437  # \d~4436 )
3511
 
3512
	.dataa(\z80mem|cpu_rnw~38 ),
3513
	.datab(\d~4437 ),
3514
	.datac(\d~4436 ),
3515
	.datad(\z80mem|zd_out [0]),
3516
	.aclr(gnd),
3517
	.aload(gnd),
3518
	.clk(gnd),
3519
	.cin(gnd),
3520
	.cascin(vcc),
3521
	.devclrn(devclrn),
3522
	.devpor(devpor),
3523
	.combout(\d~4438 ),
3524
	.regout(),
3525
	.cout(),
3526
	.cascout());
3527
// synopsys translate_off
3528
defparam \d~4438_I .clock_enable_mode = "false";
3529
defparam \d~4438_I .lut_mask = "fe54";
3530
defparam \d~4438_I .operation_mode = "normal";
3531
defparam \d~4438_I .output_mode = "comb_only";
3532
defparam \d~4438_I .packed_mode = "false";
3533
// synopsys translate_on
3534
 
3535
// atom is at PIN_144
3536
flex10ke_io \m1_n~I (
3537
	.datain(gnd),
3538
	.clk(gnd),
3539
	.ena(vcc),
3540
	.aclr(gnd),
3541
	.oe(gnd),
3542
	.devclrn(devclrn),
3543
	.devpor(devpor),
3544
	.devoe(devoe),
3545
	.dataout(\m1_n~dataout ),
3546
	.padio(m1_n));
3547
// synopsys translate_off
3548
defparam \m1_n~I .feedback_mode = "from_pin";
3549
defparam \m1_n~I .operation_mode = "input";
3550
defparam \m1_n~I .reg_source_mode = "none";
3551
// synopsys translate_on
3552
 
3553
// atom is at PIN_7
3554
flex10ke_io \iorq_n~I (
3555
	.datain(gnd),
3556
	.clk(gnd),
3557
	.ena(vcc),
3558
	.aclr(gnd),
3559
	.oe(gnd),
3560
	.devclrn(devclrn),
3561
	.devpor(devpor),
3562
	.devoe(devoe),
3563
	.dataout(\iorq_n~dataout ),
3564
	.padio(iorq_n));
3565
// synopsys translate_off
3566
defparam \iorq_n~I .feedback_mode = "from_pin";
3567
defparam \iorq_n~I .operation_mode = "input";
3568
defparam \iorq_n~I .reg_source_mode = "none";
3569
// synopsys translate_on
3570
 
3571
// atom is at LC2_E16
3572
flex10ke_lcell \m1_n~9_I (
3573
// Equation(s):
3574
// \m1_n~9  = !\m1_n~dataout  & !\iorq_n~dataout 
3575
 
3576
	.dataa(vcc),
3577
	.datab(vcc),
3578
	.datac(\m1_n~dataout ),
3579
	.datad(\iorq_n~dataout ),
3580
	.aclr(gnd),
3581
	.aload(gnd),
3582
	.clk(gnd),
3583
	.cin(gnd),
3584
	.cascin(vcc),
3585
	.devclrn(devclrn),
3586
	.devpor(devpor),
3587
	.combout(\m1_n~9 ),
3588
	.regout(),
3589
	.cout(),
3590
	.cascout());
3591
// synopsys translate_off
3592
defparam \m1_n~9_I .clock_enable_mode = "false";
3593
defparam \m1_n~9_I .lut_mask = "000f";
3594
defparam \m1_n~9_I .operation_mode = "normal";
3595
defparam \m1_n~9_I .output_mode = "comb_only";
3596
defparam \m1_n~9_I .packed_mode = "false";
3597
// synopsys translate_on
3598
 
3599
// atom is at PIN_80
3600
flex10ke_io \iorqge2~I (
3601
	.datain(gnd),
3602
	.clk(gnd),
3603
	.ena(vcc),
3604
	.aclr(gnd),
3605
	.oe(gnd),
3606
	.devclrn(devclrn),
3607
	.devpor(devpor),
3608
	.devoe(devoe),
3609
	.dataout(\iorqge2~dataout ),
3610
	.padio(iorqge2));
3611
// synopsys translate_off
3612
defparam \iorqge2~I .feedback_mode = "from_pin";
3613
defparam \iorqge2~I .operation_mode = "input";
3614
defparam \iorqge2~I .reg_source_mode = "none";
3615
// synopsys translate_on
3616
 
3617
// atom is at PIN_141
3618
flex10ke_io \rd_n~I (
3619
	.datain(gnd),
3620
	.clk(gnd),
3621
	.ena(vcc),
3622
	.aclr(gnd),
3623
	.oe(gnd),
3624
	.devclrn(devclrn),
3625
	.devpor(devpor),
3626
	.devoe(devoe),
3627
	.dataout(\rd_n~dataout ),
3628
	.padio(rd_n));
3629
// synopsys translate_off
3630
defparam \rd_n~I .feedback_mode = "from_pin";
3631
defparam \rd_n~I .operation_mode = "input";
3632
defparam \rd_n~I .reg_source_mode = "none";
3633
// synopsys translate_on
3634
 
3635
// atom is at LC7_E7
3636
flex10ke_lcell \iorqge2~17_I (
3637
// Equation(s):
3638
// \iorqge2~17  = !\iorqge2~dataout  & !\rd_n~dataout  & !iorq2_n
3639
 
3640
	.dataa(vcc),
3641
	.datab(\iorqge2~dataout ),
3642
	.datac(\rd_n~dataout ),
3643
	.datad(\zxbus|iorq2_n ),
3644
	.aclr(gnd),
3645
	.aload(gnd),
3646
	.clk(gnd),
3647
	.cin(gnd),
3648
	.cascin(vcc),
3649
	.devclrn(devclrn),
3650
	.devpor(devpor),
3651
	.combout(\iorqge2~17 ),
3652
	.regout(),
3653
	.cout(),
3654
	.cascout());
3655
// synopsys translate_off
3656
defparam \iorqge2~17_I .clock_enable_mode = "false";
3657
defparam \iorqge2~17_I .lut_mask = "0003";
3658
defparam \iorqge2~17_I .operation_mode = "normal";
3659
defparam \iorqge2~17_I .output_mode = "comb_only";
3660
defparam \iorqge2~17_I .packed_mode = "false";
3661
// synopsys translate_on
3662
 
3663
// atom is at LC1_E7
3664
flex10ke_lcell \d~25_I (
3665
// Equation(s):
3666
// \d~25  = cpu_rnw # \m1_n~9  # \iorqge2~17  # dataout
3667
 
3668
	.dataa(\z80mem|cpu_rnw~38 ),
3669
	.datab(\m1_n~9 ),
3670
	.datac(\iorqge2~17 ),
3671
	.datad(\zports|dataout~113 ),
3672
	.aclr(gnd),
3673
	.aload(gnd),
3674
	.clk(gnd),
3675
	.cin(gnd),
3676
	.cascin(vcc),
3677
	.devclrn(devclrn),
3678
	.devpor(devpor),
3679
	.combout(\d~25 ),
3680
	.regout(),
3681
	.cout(),
3682
	.cascout());
3683
// synopsys translate_off
3684
defparam \d~25_I .clock_enable_mode = "false";
3685
defparam \d~25_I .lut_mask = "fffe";
3686
defparam \d~25_I .operation_mode = "normal";
3687
defparam \d~25_I .output_mode = "comb_only";
3688
defparam \d~25_I .packed_mode = "false";
3689
// synopsys translate_on
3690
 
3691
// atom is at LC5_H33
3692
flex10ke_lcell \d~4440_I (
3693
// Equation(s):
3694
// \d~4440  = \a~dataout [4] & (\a~dataout [3] & !Selector61 # !\a~dataout [3] & (!Selector6))
3695
 
3696
	.dataa(\zports|Selector6~1409 ),
3697
	.datab(\zports|Selector6~1408 ),
3698
	.datac(\a~dataout [3]),
3699
	.datad(\a~dataout [4]),
3700
	.aclr(gnd),
3701
	.aload(gnd),
3702
	.clk(gnd),
3703
	.cin(gnd),
3704
	.cascin(vcc),
3705
	.devclrn(devclrn),
3706
	.devpor(devpor),
3707
	.combout(\d~4440 ),
3708
	.regout(),
3709
	.cout(),
3710
	.cascout());
3711
// synopsys translate_off
3712
defparam \d~4440_I .clock_enable_mode = "false";
3713
defparam \d~4440_I .lut_mask = "5300";
3714
defparam \d~4440_I .operation_mode = "normal";
3715
defparam \d~4440_I .output_mode = "comb_only";
3716
defparam \d~4440_I .packed_mode = "false";
3717
// synopsys translate_on
3718
 
3719
// atom is at LC4_H33
3720
flex10ke_lcell \d~4439_I (
3721
// Equation(s):
3722
// \d~4439  = !\a~dataout [4] & (!\a~dataout [3] # !Selector62) # !dataout
3723
 
3724
	.dataa(\zports|dataout~113 ),
3725
	.datab(\a~dataout [4]),
3726
	.datac(\zports|Selector6~1407 ),
3727
	.datad(\a~dataout [3]),
3728
	.aclr(gnd),
3729
	.aload(gnd),
3730
	.clk(gnd),
3731
	.cin(gnd),
3732
	.cascin(vcc),
3733
	.devclrn(devclrn),
3734
	.devpor(devpor),
3735
	.combout(\d~4439 ),
3736
	.regout(),
3737
	.cout(),
3738
	.cascout());
3739
// synopsys translate_off
3740
defparam \d~4439_I .clock_enable_mode = "false";
3741
defparam \d~4439_I .lut_mask = "5777";
3742
defparam \d~4439_I .operation_mode = "normal";
3743
defparam \d~4439_I .output_mode = "comb_only";
3744
defparam \d~4439_I .packed_mode = "false";
3745
// synopsys translate_on
3746
 
3747
// atom is at LC3_H33
3748
flex10ke_lcell \d~4441_I (
3749
// Equation(s):
3750
// \d~4441  = cpu_rnw & (zd_out_1) # !cpu_rnw & (\d~4440  # \d~4439 )
3751
 
3752
	.dataa(\z80mem|cpu_rnw~38 ),
3753
	.datab(\d~4440 ),
3754
	.datac(\d~4439 ),
3755
	.datad(\z80mem|zd_out [1]),
3756
	.aclr(gnd),
3757
	.aload(gnd),
3758
	.clk(gnd),
3759
	.cin(gnd),
3760
	.cascin(vcc),
3761
	.devclrn(devclrn),
3762
	.devpor(devpor),
3763
	.combout(\d~4441 ),
3764
	.regout(),
3765
	.cout(),
3766
	.cascout());
3767
// synopsys translate_off
3768
defparam \d~4441_I .clock_enable_mode = "false";
3769
defparam \d~4441_I .lut_mask = "fe54";
3770
defparam \d~4441_I .operation_mode = "normal";
3771
defparam \d~4441_I .output_mode = "comb_only";
3772
defparam \d~4441_I .packed_mode = "false";
3773
// synopsys translate_on
3774
 
3775
// atom is at LC6_H25
3776
flex10ke_lcell \d~4443_I (
3777
// Equation(s):
3778
// \d~4443  = \a~dataout [4] & (\a~dataout [3] & !Selector51 # !\a~dataout [3] & (!Selector5))
3779
 
3780
	.dataa(\zports|Selector5~1409 ),
3781
	.datab(\zports|Selector5~1408 ),
3782
	.datac(\a~dataout [3]),
3783
	.datad(\a~dataout [4]),
3784
	.aclr(gnd),
3785
	.aload(gnd),
3786
	.clk(gnd),
3787
	.cin(gnd),
3788
	.cascin(vcc),
3789
	.devclrn(devclrn),
3790
	.devpor(devpor),
3791
	.combout(\d~4443 ),
3792
	.regout(),
3793
	.cout(),
3794
	.cascout());
3795
// synopsys translate_off
3796
defparam \d~4443_I .clock_enable_mode = "false";
3797
defparam \d~4443_I .lut_mask = "5300";
3798
defparam \d~4443_I .operation_mode = "normal";
3799
defparam \d~4443_I .output_mode = "comb_only";
3800
defparam \d~4443_I .packed_mode = "false";
3801
// synopsys translate_on
3802
 
3803
// atom is at LC5_H25
3804
flex10ke_lcell \d~4442_I (
3805
// Equation(s):
3806
// \d~4442  = !\a~dataout [4] & (!\a~dataout [3] # !Selector52) # !dataout
3807
 
3808
	.dataa(\zports|dataout~113 ),
3809
	.datab(\a~dataout [4]),
3810
	.datac(\zports|Selector5~1407 ),
3811
	.datad(\a~dataout [3]),
3812
	.aclr(gnd),
3813
	.aload(gnd),
3814
	.clk(gnd),
3815
	.cin(gnd),
3816
	.cascin(vcc),
3817
	.devclrn(devclrn),
3818
	.devpor(devpor),
3819
	.combout(\d~4442 ),
3820
	.regout(),
3821
	.cout(),
3822
	.cascout());
3823
// synopsys translate_off
3824
defparam \d~4442_I .clock_enable_mode = "false";
3825
defparam \d~4442_I .lut_mask = "5777";
3826
defparam \d~4442_I .operation_mode = "normal";
3827
defparam \d~4442_I .output_mode = "comb_only";
3828
defparam \d~4442_I .packed_mode = "false";
3829
// synopsys translate_on
3830
 
3831
// atom is at LC1_H25
3832
flex10ke_lcell \d~4444_I (
3833
// Equation(s):
3834
// \d~4444  = cpu_rnw & (zd_out_2) # !cpu_rnw & (\d~4443  # \d~4442 )
3835
 
3836
	.dataa(\z80mem|cpu_rnw~38 ),
3837
	.datab(\d~4443 ),
3838
	.datac(\d~4442 ),
3839
	.datad(\z80mem|zd_out [2]),
3840
	.aclr(gnd),
3841
	.aload(gnd),
3842
	.clk(gnd),
3843
	.cin(gnd),
3844
	.cascin(vcc),
3845
	.devclrn(devclrn),
3846
	.devpor(devpor),
3847
	.combout(\d~4444 ),
3848
	.regout(),
3849
	.cout(),
3850
	.cascout());
3851
// synopsys translate_off
3852
defparam \d~4444_I .clock_enable_mode = "false";
3853
defparam \d~4444_I .lut_mask = "fe54";
3854
defparam \d~4444_I .operation_mode = "normal";
3855
defparam \d~4444_I .output_mode = "comb_only";
3856
defparam \d~4444_I .packed_mode = "false";
3857
// synopsys translate_on
3858
 
3859
// atom is at LC4_H17
3860
flex10ke_lcell \d~4446_I (
3861
// Equation(s):
3862
// \d~4446  = \a~dataout [4] & (\a~dataout [3] & !Selector41 # !\a~dataout [3] & (!Selector4))
3863
 
3864
	.dataa(\zports|Selector4~1409 ),
3865
	.datab(\zports|Selector4~1408 ),
3866
	.datac(\a~dataout [3]),
3867
	.datad(\a~dataout [4]),
3868
	.aclr(gnd),
3869
	.aload(gnd),
3870
	.clk(gnd),
3871
	.cin(gnd),
3872
	.cascin(vcc),
3873
	.devclrn(devclrn),
3874
	.devpor(devpor),
3875
	.combout(\d~4446 ),
3876
	.regout(),
3877
	.cout(),
3878
	.cascout());
3879
// synopsys translate_off
3880
defparam \d~4446_I .clock_enable_mode = "false";
3881
defparam \d~4446_I .lut_mask = "5300";
3882
defparam \d~4446_I .operation_mode = "normal";
3883
defparam \d~4446_I .output_mode = "comb_only";
3884
defparam \d~4446_I .packed_mode = "false";
3885
// synopsys translate_on
3886
 
3887
// atom is at LC3_H17
3888
flex10ke_lcell \d~4445_I (
3889
// Equation(s):
3890
// \d~4445  = !\a~dataout [4] & (!\a~dataout [3] # !Selector42) # !dataout
3891
 
3892
	.dataa(\zports|dataout~113 ),
3893
	.datab(\a~dataout [4]),
3894
	.datac(\zports|Selector4~1407 ),
3895
	.datad(\a~dataout [3]),
3896
	.aclr(gnd),
3897
	.aload(gnd),
3898
	.clk(gnd),
3899
	.cin(gnd),
3900
	.cascin(vcc),
3901
	.devclrn(devclrn),
3902
	.devpor(devpor),
3903
	.combout(\d~4445 ),
3904
	.regout(),
3905
	.cout(),
3906
	.cascout());
3907
// synopsys translate_off
3908
defparam \d~4445_I .clock_enable_mode = "false";
3909
defparam \d~4445_I .lut_mask = "5777";
3910
defparam \d~4445_I .operation_mode = "normal";
3911
defparam \d~4445_I .output_mode = "comb_only";
3912
defparam \d~4445_I .packed_mode = "false";
3913
// synopsys translate_on
3914
 
3915
// atom is at LC8_H17
3916
flex10ke_lcell \d~4447_I (
3917
// Equation(s):
3918
// \d~4447  = cpu_rnw & (zd_out_3) # !cpu_rnw & (\d~4446  # \d~4445 )
3919
 
3920
	.dataa(\z80mem|cpu_rnw~38 ),
3921
	.datab(\d~4446 ),
3922
	.datac(\d~4445 ),
3923
	.datad(\z80mem|zd_out [3]),
3924
	.aclr(gnd),
3925
	.aload(gnd),
3926
	.clk(gnd),
3927
	.cin(gnd),
3928
	.cascin(vcc),
3929
	.devclrn(devclrn),
3930
	.devpor(devpor),
3931
	.combout(\d~4447 ),
3932
	.regout(),
3933
	.cout(),
3934
	.cascout());
3935
// synopsys translate_off
3936
defparam \d~4447_I .clock_enable_mode = "false";
3937
defparam \d~4447_I .lut_mask = "fe54";
3938
defparam \d~4447_I .operation_mode = "normal";
3939
defparam \d~4447_I .output_mode = "comb_only";
3940
defparam \d~4447_I .packed_mode = "false";
3941
// synopsys translate_on
3942
 
3943
// atom is at LC4_H27
3944
flex10ke_lcell \d~4449_I (
3945
// Equation(s):
3946
// \d~4449  = \a~dataout [4] & (\a~dataout [3] & !Selector31 # !\a~dataout [3] & (!Selector3))
3947
 
3948
	.dataa(\zports|Selector3~1409 ),
3949
	.datab(\zports|Selector3~1408 ),
3950
	.datac(\a~dataout [3]),
3951
	.datad(\a~dataout [4]),
3952
	.aclr(gnd),
3953
	.aload(gnd),
3954
	.clk(gnd),
3955
	.cin(gnd),
3956
	.cascin(vcc),
3957
	.devclrn(devclrn),
3958
	.devpor(devpor),
3959
	.combout(\d~4449 ),
3960
	.regout(),
3961
	.cout(),
3962
	.cascout());
3963
// synopsys translate_off
3964
defparam \d~4449_I .clock_enable_mode = "false";
3965
defparam \d~4449_I .lut_mask = "5300";
3966
defparam \d~4449_I .operation_mode = "normal";
3967
defparam \d~4449_I .output_mode = "comb_only";
3968
defparam \d~4449_I .packed_mode = "false";
3969
// synopsys translate_on
3970
 
3971
// atom is at LC3_H27
3972
flex10ke_lcell \d~4448_I (
3973
// Equation(s):
3974
// \d~4448  = !\a~dataout [4] & (!\a~dataout [3] # !Selector32) # !dataout
3975
 
3976
	.dataa(\zports|dataout~113 ),
3977
	.datab(\a~dataout [4]),
3978
	.datac(\zports|Selector3~1407 ),
3979
	.datad(\a~dataout [3]),
3980
	.aclr(gnd),
3981
	.aload(gnd),
3982
	.clk(gnd),
3983
	.cin(gnd),
3984
	.cascin(vcc),
3985
	.devclrn(devclrn),
3986
	.devpor(devpor),
3987
	.combout(\d~4448 ),
3988
	.regout(),
3989
	.cout(),
3990
	.cascout());
3991
// synopsys translate_off
3992
defparam \d~4448_I .clock_enable_mode = "false";
3993
defparam \d~4448_I .lut_mask = "5777";
3994
defparam \d~4448_I .operation_mode = "normal";
3995
defparam \d~4448_I .output_mode = "comb_only";
3996
defparam \d~4448_I .packed_mode = "false";
3997
// synopsys translate_on
3998
 
3999
// atom is at LC5_H27
4000
flex10ke_lcell \d~4450_I (
4001
// Equation(s):
4002
// \d~4450  = cpu_rnw & (zd_out_4) # !cpu_rnw & (\d~4449  # \d~4448 )
4003
 
4004
	.dataa(\z80mem|cpu_rnw~38 ),
4005
	.datab(\d~4449 ),
4006
	.datac(\d~4448 ),
4007
	.datad(\z80mem|zd_out [4]),
4008
	.aclr(gnd),
4009
	.aload(gnd),
4010
	.clk(gnd),
4011
	.cin(gnd),
4012
	.cascin(vcc),
4013
	.devclrn(devclrn),
4014
	.devpor(devpor),
4015
	.combout(\d~4450 ),
4016
	.regout(),
4017
	.cout(),
4018
	.cascout());
4019
// synopsys translate_off
4020
defparam \d~4450_I .clock_enable_mode = "false";
4021
defparam \d~4450_I .lut_mask = "fe54";
4022
defparam \d~4450_I .operation_mode = "normal";
4023
defparam \d~4450_I .output_mode = "comb_only";
4024
defparam \d~4450_I .packed_mode = "false";
4025
// synopsys translate_on
4026
 
4027
// atom is at LC5_H8
4028
flex10ke_lcell \d~4452_I (
4029
// Equation(s):
4030
// \d~4452  = \a~dataout [4] & (\a~dataout [3] & (Selector2) # !\a~dataout [3] & !Selector21)
4031
 
4032
	.dataa(\zports|Selector2~1468 ),
4033
	.datab(\a~dataout [3]),
4034
	.datac(\zports|Selector2~1452 ),
4035
	.datad(\a~dataout [4]),
4036
	.aclr(gnd),
4037
	.aload(gnd),
4038
	.clk(gnd),
4039
	.cin(gnd),
4040
	.cascin(vcc),
4041
	.devclrn(devclrn),
4042
	.devpor(devpor),
4043
	.combout(\d~4452 ),
4044
	.regout(),
4045
	.cout(),
4046
	.cascout());
4047
// synopsys translate_off
4048
defparam \d~4452_I .clock_enable_mode = "false";
4049
defparam \d~4452_I .lut_mask = "d100";
4050
defparam \d~4452_I .operation_mode = "normal";
4051
defparam \d~4452_I .output_mode = "comb_only";
4052
defparam \d~4452_I .packed_mode = "false";
4053
// synopsys translate_on
4054
 
4055
// atom is at LC4_H8
4056
flex10ke_lcell \d~4451_I (
4057
// Equation(s):
4058
// \d~4451  = !\a~dataout [4] & (!\a~dataout [3] # !Selector22) # !dataout
4059
 
4060
	.dataa(\zports|dataout~113 ),
4061
	.datab(\a~dataout [4]),
4062
	.datac(\zports|Selector2~1467 ),
4063
	.datad(\a~dataout [3]),
4064
	.aclr(gnd),
4065
	.aload(gnd),
4066
	.clk(gnd),
4067
	.cin(gnd),
4068
	.cascin(vcc),
4069
	.devclrn(devclrn),
4070
	.devpor(devpor),
4071
	.combout(\d~4451 ),
4072
	.regout(),
4073
	.cout(),
4074
	.cascout());
4075
// synopsys translate_off
4076
defparam \d~4451_I .clock_enable_mode = "false";
4077
defparam \d~4451_I .lut_mask = "5777";
4078
defparam \d~4451_I .operation_mode = "normal";
4079
defparam \d~4451_I .output_mode = "comb_only";
4080
defparam \d~4451_I .packed_mode = "false";
4081
// synopsys translate_on
4082
 
4083
// atom is at LC1_H8
4084
flex10ke_lcell \d~4453_I (
4085
// Equation(s):
4086
// \d~4453  = cpu_rnw & (zd_out_5) # !cpu_rnw & (\d~4452  # \d~4451 )
4087
 
4088
	.dataa(\z80mem|cpu_rnw~38 ),
4089
	.datab(\d~4452 ),
4090
	.datac(\d~4451 ),
4091
	.datad(\z80mem|zd_out [5]),
4092
	.aclr(gnd),
4093
	.aload(gnd),
4094
	.clk(gnd),
4095
	.cin(gnd),
4096
	.cascin(vcc),
4097
	.devclrn(devclrn),
4098
	.devpor(devpor),
4099
	.combout(\d~4453 ),
4100
	.regout(),
4101
	.cout(),
4102
	.cascout());
4103
// synopsys translate_off
4104
defparam \d~4453_I .clock_enable_mode = "false";
4105
defparam \d~4453_I .lut_mask = "fe54";
4106
defparam \d~4453_I .operation_mode = "normal";
4107
defparam \d~4453_I .output_mode = "comb_only";
4108
defparam \d~4453_I .packed_mode = "false";
4109
// synopsys translate_on
4110
 
4111
// atom is at LC4_H34
4112
flex10ke_lcell \d~4455_I (
4113
// Equation(s):
4114
// \d~4455  = \a~dataout [4] & (\a~dataout [3] & (Selector1) # !\a~dataout [3] & !Selector11)
4115
 
4116
	.dataa(\zports|Selector1~1400 ),
4117
	.datab(\a~dataout [3]),
4118
	.datac(\zports|Selector1~1384 ),
4119
	.datad(\a~dataout [4]),
4120
	.aclr(gnd),
4121
	.aload(gnd),
4122
	.clk(gnd),
4123
	.cin(gnd),
4124
	.cascin(vcc),
4125
	.devclrn(devclrn),
4126
	.devpor(devpor),
4127
	.combout(\d~4455 ),
4128
	.regout(),
4129
	.cout(),
4130
	.cascout());
4131
// synopsys translate_off
4132
defparam \d~4455_I .clock_enable_mode = "false";
4133
defparam \d~4455_I .lut_mask = "d100";
4134
defparam \d~4455_I .operation_mode = "normal";
4135
defparam \d~4455_I .output_mode = "comb_only";
4136
defparam \d~4455_I .packed_mode = "false";
4137
// synopsys translate_on
4138
 
4139
// atom is at LC3_H34
4140
flex10ke_lcell \d~4454_I (
4141
// Equation(s):
4142
// \d~4454  = !\a~dataout [4] & (!\a~dataout [3] # !Selector12) # !dataout
4143
 
4144
	.dataa(\zports|dataout~113 ),
4145
	.datab(\a~dataout [4]),
4146
	.datac(\zports|Selector1~1399 ),
4147
	.datad(\a~dataout [3]),
4148
	.aclr(gnd),
4149
	.aload(gnd),
4150
	.clk(gnd),
4151
	.cin(gnd),
4152
	.cascin(vcc),
4153
	.devclrn(devclrn),
4154
	.devpor(devpor),
4155
	.combout(\d~4454 ),
4156
	.regout(),
4157
	.cout(),
4158
	.cascout());
4159
// synopsys translate_off
4160
defparam \d~4454_I .clock_enable_mode = "false";
4161
defparam \d~4454_I .lut_mask = "5777";
4162
defparam \d~4454_I .operation_mode = "normal";
4163
defparam \d~4454_I .output_mode = "comb_only";
4164
defparam \d~4454_I .packed_mode = "false";
4165
// synopsys translate_on
4166
 
4167
// atom is at LC8_H34
4168
flex10ke_lcell \d~4456_I (
4169
// Equation(s):
4170
// \d~4456  = cpu_rnw & (zd_out_6) # !cpu_rnw & (\d~4455  # \d~4454 )
4171
 
4172
	.dataa(\z80mem|cpu_rnw~38 ),
4173
	.datab(\d~4455 ),
4174
	.datac(\d~4454 ),
4175
	.datad(\z80mem|zd_out [6]),
4176
	.aclr(gnd),
4177
	.aload(gnd),
4178
	.clk(gnd),
4179
	.cin(gnd),
4180
	.cascin(vcc),
4181
	.devclrn(devclrn),
4182
	.devpor(devpor),
4183
	.combout(\d~4456 ),
4184
	.regout(),
4185
	.cout(),
4186
	.cascout());
4187
// synopsys translate_off
4188
defparam \d~4456_I .clock_enable_mode = "false";
4189
defparam \d~4456_I .lut_mask = "fe54";
4190
defparam \d~4456_I .operation_mode = "normal";
4191
defparam \d~4456_I .output_mode = "comb_only";
4192
defparam \d~4456_I .packed_mode = "false";
4193
// synopsys translate_on
4194
 
4195
// atom is at LC2_H23
4196
flex10ke_lcell \d~4458_I (
4197
// Equation(s):
4198
// \d~4458  = \a~dataout [4] & (\a~dataout [3] & (Selector0) # !\a~dataout [3] & !Selector02)
4199
 
4200
	.dataa(\zports|Selector0~1470 ),
4201
	.datab(\a~dataout [3]),
4202
	.datac(\zports|Selector0~1454 ),
4203
	.datad(\a~dataout [4]),
4204
	.aclr(gnd),
4205
	.aload(gnd),
4206
	.clk(gnd),
4207
	.cin(gnd),
4208
	.cascin(vcc),
4209
	.devclrn(devclrn),
4210
	.devpor(devpor),
4211
	.combout(\d~4458 ),
4212
	.regout(),
4213
	.cout(),
4214
	.cascout());
4215
// synopsys translate_off
4216
defparam \d~4458_I .clock_enable_mode = "false";
4217
defparam \d~4458_I .lut_mask = "d100";
4218
defparam \d~4458_I .operation_mode = "normal";
4219
defparam \d~4458_I .output_mode = "comb_only";
4220
defparam \d~4458_I .packed_mode = "false";
4221
// synopsys translate_on
4222
 
4223
// atom is at LC1_H23
4224
flex10ke_lcell \d~4457_I (
4225
// Equation(s):
4226
// \d~4457  = !\a~dataout [4] & (!\a~dataout [3] # !Selector01) # !dataout
4227
 
4228
	.dataa(\zports|dataout~113 ),
4229
	.datab(\a~dataout [4]),
4230
	.datac(\zports|Selector0~1469 ),
4231
	.datad(\a~dataout [3]),
4232
	.aclr(gnd),
4233
	.aload(gnd),
4234
	.clk(gnd),
4235
	.cin(gnd),
4236
	.cascin(vcc),
4237
	.devclrn(devclrn),
4238
	.devpor(devpor),
4239
	.combout(\d~4457 ),
4240
	.regout(),
4241
	.cout(),
4242
	.cascout());
4243
// synopsys translate_off
4244
defparam \d~4457_I .clock_enable_mode = "false";
4245
defparam \d~4457_I .lut_mask = "5777";
4246
defparam \d~4457_I .operation_mode = "normal";
4247
defparam \d~4457_I .output_mode = "comb_only";
4248
defparam \d~4457_I .packed_mode = "false";
4249
// synopsys translate_on
4250
 
4251
// atom is at LC5_H23
4252
flex10ke_lcell \d~4459_I (
4253
// Equation(s):
4254
// \d~4459  = cpu_rnw & (zd_out_7) # !cpu_rnw & (\d~4458  # \d~4457 )
4255
 
4256
	.dataa(\z80mem|cpu_rnw~38 ),
4257
	.datab(\d~4458 ),
4258
	.datac(\d~4457 ),
4259
	.datad(\z80mem|zd_out [7]),
4260
	.aclr(gnd),
4261
	.aload(gnd),
4262
	.clk(gnd),
4263
	.cin(gnd),
4264
	.cascin(vcc),
4265
	.devclrn(devclrn),
4266
	.devpor(devpor),
4267
	.combout(\d~4459 ),
4268
	.regout(),
4269
	.cout(),
4270
	.cascout());
4271
// synopsys translate_off
4272
defparam \d~4459_I .clock_enable_mode = "false";
4273
defparam \d~4459_I .lut_mask = "fe54";
4274
defparam \d~4459_I .operation_mode = "normal";
4275
defparam \d~4459_I .output_mode = "comb_only";
4276
defparam \d~4459_I .packed_mode = "false";
4277
// synopsys translate_on
4278
 
4279
// atom is at PIN_139
4280
flex10ke_io \clkz_out~I (
4281
	.datain(\zclock|zclk_out ),
4282
	.clk(gnd),
4283
	.ena(vcc),
4284
	.aclr(gnd),
4285
	.oe(vcc),
4286
	.devclrn(devclrn),
4287
	.devpor(devpor),
4288
	.devoe(devoe),
4289
	.dataout(),
4290
	.padio(clkz_out));
4291
// synopsys translate_off
4292
defparam \clkz_out~I .feedback_mode = "none";
4293
defparam \clkz_out~I .operation_mode = "output";
4294
defparam \clkz_out~I .reg_source_mode = "none";
4295
// synopsys translate_on
4296
 
4297
// atom is at PIN_10
4298
flex10ke_io \int_n~I (
4299
	.datain(\preryv|int_n ),
4300
	.clk(gnd),
4301
	.ena(vcc),
4302
	.aclr(gnd),
4303
	.oe(vcc),
4304
	.devclrn(devclrn),
4305
	.devpor(devpor),
4306
	.devoe(devoe),
4307
	.dataout(),
4308
	.padio(int_n));
4309
// synopsys translate_off
4310
defparam \int_n~I .feedback_mode = "none";
4311
defparam \int_n~I .operation_mode = "output";
4312
defparam \int_n~I .reg_source_mode = "none";
4313
// synopsys translate_on
4314
 
4315
// atom is at PIN_9
4316
flex10ke_io \nmi_n~I (
4317
	.datain(!\slavespi|cfg0_reg_out [1]),
4318
	.clk(gnd),
4319
	.ena(vcc),
4320
	.aclr(gnd),
4321
	.oe(vcc),
4322
	.devclrn(devclrn),
4323
	.devpor(devpor),
4324
	.devoe(devoe),
4325
	.dataout(),
4326
	.padio(nmi_n));
4327
// synopsys translate_off
4328
defparam \nmi_n~I .feedback_mode = "none";
4329
defparam \nmi_n~I .open_drain_output = "true";
4330
defparam \nmi_n~I .operation_mode = "output";
4331
defparam \nmi_n~I .reg_source_mode = "none";
4332
// synopsys translate_on
4333
 
4334
// atom is at PIN_143
4335
flex10ke_io \wait_n~I (
4336
	.datain(\zwait|WideNor0 ),
4337
	.clk(gnd),
4338
	.ena(vcc),
4339
	.aclr(gnd),
4340
	.oe(vcc),
4341
	.devclrn(devclrn),
4342
	.devpor(devpor),
4343
	.devoe(devoe),
4344
	.dataout(),
4345
	.padio(wait_n));
4346
// synopsys translate_off
4347
defparam \wait_n~I .feedback_mode = "none";
4348
defparam \wait_n~I .open_drain_output = "true";
4349
defparam \wait_n~I .operation_mode = "output";
4350
defparam \wait_n~I .reg_source_mode = "none";
4351
// synopsys translate_on
4352
 
4353
// atom is at PIN_142
4354
flex10ke_io \res~I (
4355
	.datain(!\myrst|rst_out_n ),
4356
	.clk(gnd),
4357
	.ena(vcc),
4358
	.aclr(gnd),
4359
	.oe(vcc),
4360
	.devclrn(devclrn),
4361
	.devpor(devpor),
4362
	.devoe(devoe),
4363
	.dataout(),
4364
	.padio(res));
4365
// synopsys translate_off
4366
defparam \res~I .feedback_mode = "none";
4367
defparam \res~I .operation_mode = "output";
4368
defparam \res~I .reg_source_mode = "none";
4369
// synopsys translate_on
4370
 
4371
// atom is at PIN_150
4372
flex10ke_io \csrom~I (
4373
	.datain(\z80mem|Mux8~3 ),
4374
	.clk(gnd),
4375
	.ena(vcc),
4376
	.aclr(gnd),
4377
	.oe(vcc),
4378
	.devclrn(devclrn),
4379
	.devpor(devpor),
4380
	.devoe(devoe),
4381
	.dataout(),
4382
	.padio(csrom));
4383
// synopsys translate_off
4384
defparam \csrom~I .feedback_mode = "none";
4385
defparam \csrom~I .operation_mode = "output";
4386
defparam \csrom~I .reg_source_mode = "none";
4387
// synopsys translate_on
4388
 
4389
// atom is at PIN_167
4390
flex10ke_io \romoe_n~I (
4391
	.datain(\z80mem|romoe_n ),
4392
	.clk(gnd),
4393
	.ena(vcc),
4394
	.aclr(gnd),
4395
	.oe(vcc),
4396
	.devclrn(devclrn),
4397
	.devpor(devpor),
4398
	.devoe(devoe),
4399
	.dataout(),
4400
	.padio(romoe_n));
4401
// synopsys translate_off
4402
defparam \romoe_n~I .feedback_mode = "none";
4403
defparam \romoe_n~I .operation_mode = "output";
4404
defparam \romoe_n~I .reg_source_mode = "none";
4405
// synopsys translate_on
4406
 
4407
// atom is at PIN_166
4408
flex10ke_io \romwe_n~I (
4409
	.datain(\z80mem|romwe_n~15 ),
4410
	.clk(gnd),
4411
	.ena(vcc),
4412
	.aclr(gnd),
4413
	.oe(vcc),
4414
	.devclrn(devclrn),
4415
	.devpor(devpor),
4416
	.devoe(devoe),
4417
	.dataout(),
4418
	.padio(romwe_n));
4419
// synopsys translate_off
4420
defparam \romwe_n~I .feedback_mode = "none";
4421
defparam \romwe_n~I .operation_mode = "output";
4422
defparam \romwe_n~I .reg_source_mode = "none";
4423
// synopsys translate_on
4424
 
4425
// atom is at PIN_161
4426
flex10ke_io \rompg0_n~I (
4427
	.datain(!\z80mem|Mux7~3 ),
4428
	.clk(gnd),
4429
	.ena(vcc),
4430
	.aclr(gnd),
4431
	.oe(vcc),
4432
	.devclrn(devclrn),
4433
	.devpor(devpor),
4434
	.devoe(devoe),
4435
	.dataout(),
4436
	.padio(rompg0_n));
4437
// synopsys translate_off
4438
defparam \rompg0_n~I .feedback_mode = "none";
4439
defparam \rompg0_n~I .operation_mode = "output";
4440
defparam \rompg0_n~I .reg_source_mode = "none";
4441
// synopsys translate_on
4442
 
4443
// atom is at PIN_160
4444
flex10ke_io \dos_n~I (
4445
	.datain(\z80mem|Mux6~3 ),
4446
	.clk(gnd),
4447
	.ena(vcc),
4448
	.aclr(gnd),
4449
	.oe(vcc),
4450
	.devclrn(devclrn),
4451
	.devpor(devpor),
4452
	.devoe(devoe),
4453
	.dataout(),
4454
	.padio(dos_n));
4455
// synopsys translate_off
4456
defparam \dos_n~I .feedback_mode = "none";
4457
defparam \dos_n~I .operation_mode = "output";
4458
defparam \dos_n~I .reg_source_mode = "none";
4459
// synopsys translate_on
4460
 
4461
// atom is at PIN_164
4462
flex10ke_io \rompg2~I (
4463
	.datain(\z80mem|Mux5~10 ),
4464
	.clk(gnd),
4465
	.ena(vcc),
4466
	.aclr(gnd),
4467
	.oe(vcc),
4468
	.devclrn(devclrn),
4469
	.devpor(devpor),
4470
	.devoe(devoe),
4471
	.dataout(),
4472
	.padio(rompg2));
4473
// synopsys translate_off
4474
defparam \rompg2~I .feedback_mode = "none";
4475
defparam \rompg2~I .operation_mode = "output";
4476
defparam \rompg2~I .reg_source_mode = "none";
4477
// synopsys translate_on
4478
 
4479
// atom is at PIN_163
4480
flex10ke_io \rompg3~I (
4481
	.datain(\z80mem|Mux4~3 ),
4482
	.clk(gnd),
4483
	.ena(vcc),
4484
	.aclr(gnd),
4485
	.oe(vcc),
4486
	.devclrn(devclrn),
4487
	.devpor(devpor),
4488
	.devoe(devoe),
4489
	.dataout(),
4490
	.padio(rompg3));
4491
// synopsys translate_off
4492
defparam \rompg3~I .feedback_mode = "none";
4493
defparam \rompg3~I .operation_mode = "output";
4494
defparam \rompg3~I .reg_source_mode = "none";
4495
// synopsys translate_on
4496
 
4497
// atom is at PIN_162
4498
flex10ke_io \rompg4~I (
4499
	.datain(\z80mem|Mux3~3 ),
4500
	.clk(gnd),
4501
	.ena(vcc),
4502
	.aclr(gnd),
4503
	.oe(vcc),
4504
	.devclrn(devclrn),
4505
	.devpor(devpor),
4506
	.devoe(devoe),
4507
	.dataout(),
4508
	.padio(rompg4));
4509
// synopsys translate_off
4510
defparam \rompg4~I .feedback_mode = "none";
4511
defparam \rompg4~I .operation_mode = "output";
4512
defparam \rompg4~I .reg_source_mode = "none";
4513
// synopsys translate_on
4514
 
4515
// atom is at PIN_148
4516
flex10ke_io \iorq1_n~I (
4517
	.datain(\zxbus|iorq1_n ),
4518
	.clk(gnd),
4519
	.ena(vcc),
4520
	.aclr(gnd),
4521
	.oe(vcc),
4522
	.devclrn(devclrn),
4523
	.devpor(devpor),
4524
	.devoe(devoe),
4525
	.dataout(),
4526
	.padio(iorq1_n));
4527
// synopsys translate_off
4528
defparam \iorq1_n~I .feedback_mode = "none";
4529
defparam \iorq1_n~I .operation_mode = "output";
4530
defparam \iorq1_n~I .reg_source_mode = "none";
4531
// synopsys translate_on
4532
 
4533
// atom is at PIN_149
4534
flex10ke_io \iorq2_n~I (
4535
	.datain(\zxbus|iorq2_n ),
4536
	.clk(gnd),
4537
	.ena(vcc),
4538
	.aclr(gnd),
4539
	.oe(vcc),
4540
	.devclrn(devclrn),
4541
	.devpor(devpor),
4542
	.devoe(devoe),
4543
	.dataout(),
4544
	.padio(iorq2_n));
4545
// synopsys translate_off
4546
defparam \iorq2_n~I .feedback_mode = "none";
4547
defparam \iorq2_n~I .operation_mode = "output";
4548
defparam \iorq2_n~I .reg_source_mode = "none";
4549
// synopsys translate_on
4550
 
4551
// atom is at PIN_177
4552
flex10ke_io \ra[0]~I (
4553
	.datain(\dram|ra [0]),
4554
	.clk(gnd),
4555
	.ena(vcc),
4556
	.aclr(gnd),
4557
	.oe(vcc),
4558
	.devclrn(devclrn),
4559
	.devpor(devpor),
4560
	.devoe(devoe),
4561
	.dataout(),
4562
	.padio(ra[0]));
4563
// synopsys translate_off
4564
defparam \ra[0]~I .feedback_mode = "none";
4565
defparam \ra[0]~I .operation_mode = "output";
4566
defparam \ra[0]~I .reg_source_mode = "none";
4567
// synopsys translate_on
4568
 
4569
// atom is at PIN_175
4570
flex10ke_io \ra[1]~I (
4571
	.datain(\dram|ra [1]),
4572
	.clk(gnd),
4573
	.ena(vcc),
4574
	.aclr(gnd),
4575
	.oe(vcc),
4576
	.devclrn(devclrn),
4577
	.devpor(devpor),
4578
	.devoe(devoe),
4579
	.dataout(),
4580
	.padio(ra[1]));
4581
// synopsys translate_off
4582
defparam \ra[1]~I .feedback_mode = "none";
4583
defparam \ra[1]~I .operation_mode = "output";
4584
defparam \ra[1]~I .reg_source_mode = "none";
4585
// synopsys translate_on
4586
 
4587
// atom is at PIN_173
4588
flex10ke_io \ra[2]~I (
4589
	.datain(\dram|ra [2]),
4590
	.clk(gnd),
4591
	.ena(vcc),
4592
	.aclr(gnd),
4593
	.oe(vcc),
4594
	.devclrn(devclrn),
4595
	.devpor(devpor),
4596
	.devoe(devoe),
4597
	.dataout(),
4598
	.padio(ra[2]));
4599
// synopsys translate_off
4600
defparam \ra[2]~I .feedback_mode = "none";
4601
defparam \ra[2]~I .operation_mode = "output";
4602
defparam \ra[2]~I .reg_source_mode = "none";
4603
// synopsys translate_on
4604
 
4605
// atom is at PIN_170
4606
flex10ke_io \ra[3]~I (
4607
	.datain(\dram|ra [3]),
4608
	.clk(gnd),
4609
	.ena(vcc),
4610
	.aclr(gnd),
4611
	.oe(vcc),
4612
	.devclrn(devclrn),
4613
	.devpor(devpor),
4614
	.devoe(devoe),
4615
	.dataout(),
4616
	.padio(ra[3]));
4617
// synopsys translate_off
4618
defparam \ra[3]~I .feedback_mode = "none";
4619
defparam \ra[3]~I .operation_mode = "output";
4620
defparam \ra[3]~I .reg_source_mode = "none";
4621
// synopsys translate_on
4622
 
4623
// atom is at PIN_169
4624
flex10ke_io \ra[4]~I (
4625
	.datain(\dram|ra [4]),
4626
	.clk(gnd),
4627
	.ena(vcc),
4628
	.aclr(gnd),
4629
	.oe(vcc),
4630
	.devclrn(devclrn),
4631
	.devpor(devpor),
4632
	.devoe(devoe),
4633
	.dataout(),
4634
	.padio(ra[4]));
4635
// synopsys translate_off
4636
defparam \ra[4]~I .feedback_mode = "none";
4637
defparam \ra[4]~I .operation_mode = "output";
4638
defparam \ra[4]~I .reg_source_mode = "none";
4639
// synopsys translate_on
4640
 
4641
// atom is at PIN_172
4642
flex10ke_io \ra[5]~I (
4643
	.datain(\dram|ra [5]),
4644
	.clk(gnd),
4645
	.ena(vcc),
4646
	.aclr(gnd),
4647
	.oe(vcc),
4648
	.devclrn(devclrn),
4649
	.devpor(devpor),
4650
	.devoe(devoe),
4651
	.dataout(),
4652
	.padio(ra[5]));
4653
// synopsys translate_off
4654
defparam \ra[5]~I .feedback_mode = "none";
4655
defparam \ra[5]~I .operation_mode = "output";
4656
defparam \ra[5]~I .reg_source_mode = "none";
4657
// synopsys translate_on
4658
 
4659
// atom is at PIN_174
4660
flex10ke_io \ra[6]~I (
4661
	.datain(\dram|ra [6]),
4662
	.clk(gnd),
4663
	.ena(vcc),
4664
	.aclr(gnd),
4665
	.oe(vcc),
4666
	.devclrn(devclrn),
4667
	.devpor(devpor),
4668
	.devoe(devoe),
4669
	.dataout(),
4670
	.padio(ra[6]));
4671
// synopsys translate_off
4672
defparam \ra[6]~I .feedback_mode = "none";
4673
defparam \ra[6]~I .operation_mode = "output";
4674
defparam \ra[6]~I .reg_source_mode = "none";
4675
// synopsys translate_on
4676
 
4677
// atom is at PIN_176
4678
flex10ke_io \ra[7]~I (
4679
	.datain(\dram|ra [7]),
4680
	.clk(gnd),
4681
	.ena(vcc),
4682
	.aclr(gnd),
4683
	.oe(vcc),
4684
	.devclrn(devclrn),
4685
	.devpor(devpor),
4686
	.devoe(devoe),
4687
	.dataout(),
4688
	.padio(ra[7]));
4689
// synopsys translate_off
4690
defparam \ra[7]~I .feedback_mode = "none";
4691
defparam \ra[7]~I .operation_mode = "output";
4692
defparam \ra[7]~I .reg_source_mode = "none";
4693
// synopsys translate_on
4694
 
4695
// atom is at PIN_179
4696
flex10ke_io \ra[8]~I (
4697
	.datain(\dram|ra [8]),
4698
	.clk(gnd),
4699
	.ena(vcc),
4700
	.aclr(gnd),
4701
	.oe(vcc),
4702
	.devclrn(devclrn),
4703
	.devpor(devpor),
4704
	.devoe(devoe),
4705
	.dataout(),
4706
	.padio(ra[8]));
4707
// synopsys translate_off
4708
defparam \ra[8]~I .feedback_mode = "none";
4709
defparam \ra[8]~I .operation_mode = "output";
4710
defparam \ra[8]~I .reg_source_mode = "none";
4711
// synopsys translate_on
4712
 
4713
// atom is at PIN_180
4714
flex10ke_io \ra[9]~I (
4715
	.datain(\dram|ra [9]),
4716
	.clk(gnd),
4717
	.ena(vcc),
4718
	.aclr(gnd),
4719
	.oe(vcc),
4720
	.devclrn(devclrn),
4721
	.devpor(devpor),
4722
	.devoe(devoe),
4723
	.dataout(),
4724
	.padio(ra[9]));
4725
// synopsys translate_off
4726
defparam \ra[9]~I .feedback_mode = "none";
4727
defparam \ra[9]~I .operation_mode = "output";
4728
defparam \ra[9]~I .reg_source_mode = "none";
4729
// synopsys translate_on
4730
 
4731
// atom is at PIN_131
4732
flex10ke_io \vred[0]~I (
4733
	.datain(\vidia|vred[0]~COMB ),
4734
	.clk(\fclk~dataout ),
4735
	.ena(vcc),
4736
	.aclr(gnd),
4737
	.oe(vcc),
4738
	.devclrn(devclrn),
4739
	.devpor(devpor),
4740
	.devoe(devoe),
4741
	.dataout(),
4742
	.padio(vred[0]));
4743
// synopsys translate_off
4744
defparam \vred[0]~I .feedback_mode = "none";
4745
defparam \vred[0]~I .operation_mode = "output";
4746
defparam \vred[0]~I .reg_source_mode = "data_in_to_pin";
4747
// synopsys translate_on
4748
 
4749
// atom is at PIN_132
4750
flex10ke_io \vred[1]~I (
4751
	.datain(\vidia|vred[1]~COMB ),
4752
	.clk(\fclk~dataout ),
4753
	.ena(vcc),
4754
	.aclr(gnd),
4755
	.oe(vcc),
4756
	.devclrn(devclrn),
4757
	.devpor(devpor),
4758
	.devoe(devoe),
4759
	.dataout(),
4760
	.padio(vred[1]));
4761
// synopsys translate_off
4762
defparam \vred[1]~I .feedback_mode = "none";
4763
defparam \vred[1]~I .operation_mode = "output";
4764
defparam \vred[1]~I .reg_source_mode = "data_in_to_pin";
4765
// synopsys translate_on
4766
 
4767
// atom is at PIN_127
4768
flex10ke_io \vgrn[0]~I (
4769
	.datain(\vidia|vgrn[0]~COMB ),
4770
	.clk(\fclk~dataout ),
4771
	.ena(vcc),
4772
	.aclr(gnd),
4773
	.oe(vcc),
4774
	.devclrn(devclrn),
4775
	.devpor(devpor),
4776
	.devoe(devoe),
4777
	.dataout(),
4778
	.padio(vgrn[0]));
4779
// synopsys translate_off
4780
defparam \vgrn[0]~I .feedback_mode = "none";
4781
defparam \vgrn[0]~I .operation_mode = "output";
4782
defparam \vgrn[0]~I .reg_source_mode = "data_in_to_pin";
4783
// synopsys translate_on
4784
 
4785
// atom is at PIN_128
4786
flex10ke_io \vgrn[1]~I (
4787
	.datain(\vidia|vgrn[1]~COMB ),
4788
	.clk(\fclk~dataout ),
4789
	.ena(vcc),
4790
	.aclr(gnd),
4791
	.oe(vcc),
4792
	.devclrn(devclrn),
4793
	.devpor(devpor),
4794
	.devoe(devoe),
4795
	.dataout(),
4796
	.padio(vgrn[1]));
4797
// synopsys translate_off
4798
defparam \vgrn[1]~I .feedback_mode = "none";
4799
defparam \vgrn[1]~I .operation_mode = "output";
4800
defparam \vgrn[1]~I .reg_source_mode = "data_in_to_pin";
4801
// synopsys translate_on
4802
 
4803
// atom is at PIN_125
4804
flex10ke_io \vblu[0]~I (
4805
	.datain(\vidia|vblu[0]~COMB ),
4806
	.clk(\fclk~dataout ),
4807
	.ena(vcc),
4808
	.aclr(gnd),
4809
	.oe(vcc),
4810
	.devclrn(devclrn),
4811
	.devpor(devpor),
4812
	.devoe(devoe),
4813
	.dataout(),
4814
	.padio(vblu[0]));
4815
// synopsys translate_off
4816
defparam \vblu[0]~I .feedback_mode = "none";
4817
defparam \vblu[0]~I .operation_mode = "output";
4818
defparam \vblu[0]~I .reg_source_mode = "data_in_to_pin";
4819
// synopsys translate_on
4820
 
4821
// atom is at PIN_126
4822
flex10ke_io \vblu[1]~I (
4823
	.datain(\vidia|vblu[1]~COMB ),
4824
	.clk(\fclk~dataout ),
4825
	.ena(vcc),
4826
	.aclr(gnd),
4827
	.oe(vcc),
4828
	.devclrn(devclrn),
4829
	.devpor(devpor),
4830
	.devoe(devoe),
4831
	.dataout(),
4832
	.padio(vblu[1]));
4833
// synopsys translate_off
4834
defparam \vblu[1]~I .feedback_mode = "none";
4835
defparam \vblu[1]~I .operation_mode = "output";
4836
defparam \vblu[1]~I .reg_source_mode = "data_in_to_pin";
4837
// synopsys translate_on
4838
 
4839
// atom is at PIN_121
4840
flex10ke_io \vhsync~I (
4841
	.datain(\vidia|vhsync ),
4842
	.clk(gnd),
4843
	.ena(vcc),
4844
	.aclr(gnd),
4845
	.oe(vcc),
4846
	.devclrn(devclrn),
4847
	.devpor(devpor),
4848
	.devoe(devoe),
4849
	.dataout(),
4850
	.padio(vhsync));
4851
// synopsys translate_off
4852
defparam \vhsync~I .feedback_mode = "none";
4853
defparam \vhsync~I .operation_mode = "output";
4854
defparam \vhsync~I .reg_source_mode = "none";
4855
// synopsys translate_on
4856
 
4857
// atom is at PIN_120
4858
flex10ke_io \vvsync~I (
4859
	.datain(\vidia|vvsync ),
4860
	.clk(gnd),
4861
	.ena(vcc),
4862
	.aclr(gnd),
4863
	.oe(vcc),
4864
	.devclrn(devclrn),
4865
	.devpor(devpor),
4866
	.devoe(devoe),
4867
	.dataout(),
4868
	.padio(vvsync));
4869
// synopsys translate_off
4870
defparam \vvsync~I .feedback_mode = "none";
4871
defparam \vvsync~I .operation_mode = "output";
4872
defparam \vvsync~I .reg_source_mode = "none";
4873
// synopsys translate_on
4874
 
4875
// atom is at PIN_122
4876
flex10ke_io \vcsync~I (
4877
	.datain(\vidia|vcsync ),
4878
	.clk(gnd),
4879
	.ena(vcc),
4880
	.aclr(gnd),
4881
	.oe(vcc),
4882
	.devclrn(devclrn),
4883
	.devpor(devpor),
4884
	.devoe(devoe),
4885
	.dataout(),
4886
	.padio(vcsync));
4887
// synopsys translate_off
4888
defparam \vcsync~I .feedback_mode = "none";
4889
defparam \vcsync~I .operation_mode = "output";
4890
defparam \vcsync~I .reg_source_mode = "none";
4891
// synopsys translate_on
4892
 
4893
// atom is at PIN_134
4894
flex10ke_io \ay_clk~I (
4895
	.datain(\ayclk_gen_rtl_0|wysi_counter|q [3]),
4896
	.clk(gnd),
4897
	.ena(vcc),
4898
	.aclr(gnd),
4899
	.oe(vcc),
4900
	.devclrn(devclrn),
4901
	.devpor(devpor),
4902
	.devoe(devoe),
4903
	.dataout(),
4904
	.padio(ay_clk));
4905
// synopsys translate_off
4906
defparam \ay_clk~I .feedback_mode = "none";
4907
defparam \ay_clk~I .operation_mode = "output";
4908
defparam \ay_clk~I .reg_source_mode = "none";
4909
// synopsys translate_on
4910
 
4911
// atom is at PIN_135
4912
flex10ke_io \ay_bdir~I (
4913
	.datain(\zports|ay_bdir ),
4914
	.clk(gnd),
4915
	.ena(vcc),
4916
	.aclr(gnd),
4917
	.oe(vcc),
4918
	.devclrn(devclrn),
4919
	.devpor(devpor),
4920
	.devoe(devoe),
4921
	.dataout(),
4922
	.padio(ay_bdir));
4923
// synopsys translate_off
4924
defparam \ay_bdir~I .feedback_mode = "none";
4925
defparam \ay_bdir~I .operation_mode = "output";
4926
defparam \ay_bdir~I .reg_source_mode = "none";
4927
// synopsys translate_on
4928
 
4929
// atom is at PIN_136
4930
flex10ke_io \ay_bc1~I (
4931
	.datain(\zports|ay_bc1~38 ),
4932
	.clk(gnd),
4933
	.ena(vcc),
4934
	.aclr(gnd),
4935
	.oe(vcc),
4936
	.devclrn(devclrn),
4937
	.devpor(devpor),
4938
	.devoe(devoe),
4939
	.dataout(),
4940
	.padio(ay_bc1));
4941
// synopsys translate_off
4942
defparam \ay_bc1~I .feedback_mode = "none";
4943
defparam \ay_bc1~I .operation_mode = "output";
4944
defparam \ay_bc1~I .reg_source_mode = "none";
4945
// synopsys translate_on
4946
 
4947
// atom is at PIN_133
4948
flex10ke_io \beep~I (
4949
	.datain(\zports|beep ),
4950
	.clk(gnd),
4951
	.ena(vcc),
4952
	.aclr(gnd),
4953
	.oe(vcc),
4954
	.devclrn(devclrn),
4955
	.devpor(devpor),
4956
	.devoe(devoe),
4957
	.dataout(),
4958
	.padio(beep));
4959
// synopsys translate_off
4960
defparam \beep~I .feedback_mode = "none";
4961
defparam \beep~I .operation_mode = "output";
4962
defparam \beep~I .reg_source_mode = "none";
4963
// synopsys translate_on
4964
 
4965
// atom is at PIN_104
4966
flex10ke_io \ide_a[0]~I (
4967
	.datain(\ide_a[0]~0 ),
4968
	.clk(gnd),
4969
	.ena(vcc),
4970
	.aclr(gnd),
4971
	.oe(vcc),
4972
	.devclrn(devclrn),
4973
	.devpor(devpor),
4974
	.devoe(devoe),
4975
	.dataout(),
4976
	.padio(ide_a[0]));
4977
// synopsys translate_off
4978
defparam \ide_a[0]~I .feedback_mode = "none";
4979
defparam \ide_a[0]~I .operation_mode = "output";
4980
defparam \ide_a[0]~I .reg_source_mode = "none";
4981
// synopsys translate_on
4982
 
4983
// atom is at PIN_103
4984
flex10ke_io \ide_a[1]~I (
4985
	.datain(\ide_a[1]~1 ),
4986
	.clk(gnd),
4987
	.ena(vcc),
4988
	.aclr(gnd),
4989
	.oe(vcc),
4990
	.devclrn(devclrn),
4991
	.devpor(devpor),
4992
	.devoe(devoe),
4993
	.dataout(),
4994
	.padio(ide_a[1]));
4995
// synopsys translate_off
4996
defparam \ide_a[1]~I .feedback_mode = "none";
4997
defparam \ide_a[1]~I .operation_mode = "output";
4998
defparam \ide_a[1]~I .reg_source_mode = "none";
4999
// synopsys translate_on
5000
 
5001
// atom is at PIN_111
5002
flex10ke_io \ide_a[2]~I (
5003
	.datain(\ide_a[2]~2 ),
5004
	.clk(gnd),
5005
	.ena(vcc),
5006
	.aclr(gnd),
5007
	.oe(vcc),
5008
	.devclrn(devclrn),
5009
	.devpor(devpor),
5010
	.devoe(devoe),
5011
	.dataout(),
5012
	.padio(ide_a[2]));
5013
// synopsys translate_off
5014
defparam \ide_a[2]~I .feedback_mode = "none";
5015
defparam \ide_a[2]~I .operation_mode = "output";
5016
defparam \ide_a[2]~I .reg_source_mode = "none";
5017
// synopsys translate_on
5018
 
5019
// atom is at PIN_97
5020
flex10ke_io \ide_dir~I (
5021
	.datain(!\ide_dir~0 ),
5022
	.clk(gnd),
5023
	.ena(vcc),
5024
	.aclr(gnd),
5025
	.oe(vcc),
5026
	.devclrn(devclrn),
5027
	.devpor(devpor),
5028
	.devoe(devoe),
5029
	.dataout(),
5030
	.padio(ide_dir));
5031
// synopsys translate_off
5032
defparam \ide_dir~I .feedback_mode = "none";
5033
defparam \ide_dir~I .operation_mode = "output";
5034
defparam \ide_dir~I .reg_source_mode = "none";
5035
// synopsys translate_on
5036
 
5037
// atom is at PIN_99
5038
flex10ke_io \ide_rdy~I (
5039
	.datain(gnd),
5040
	.clk(gnd),
5041
	.ena(vcc),
5042
	.aclr(gnd),
5043
	.oe(gnd),
5044
	.devclrn(devclrn),
5045
	.devpor(devpor),
5046
	.devoe(devoe),
5047
	.dataout(),
5048
	.padio(ide_rdy));
5049
// synopsys translate_off
5050
defparam \ide_rdy~I .feedback_mode = "from_pin";
5051
defparam \ide_rdy~I .operation_mode = "input";
5052
defparam \ide_rdy~I .reg_source_mode = "none";
5053
// synopsys translate_on
5054
 
5055
// atom is at PIN_113
5056
flex10ke_io \ide_cs0_n~I (
5057
	.datain(\zports|ide_cs0_n ),
5058
	.clk(gnd),
5059
	.ena(vcc),
5060
	.aclr(gnd),
5061
	.oe(vcc),
5062
	.devclrn(devclrn),
5063
	.devpor(devpor),
5064
	.devoe(devoe),
5065
	.dataout(),
5066
	.padio(ide_cs0_n));
5067
// synopsys translate_off
5068
defparam \ide_cs0_n~I .feedback_mode = "none";
5069
defparam \ide_cs0_n~I .operation_mode = "output";
5070
defparam \ide_cs0_n~I .reg_source_mode = "none";
5071
// synopsys translate_on
5072
 
5073
// atom is at PIN_112
5074
flex10ke_io \ide_cs1_n~I (
5075
	.datain(\zports|ide_cs1_n ),
5076
	.clk(gnd),
5077
	.ena(vcc),
5078
	.aclr(gnd),
5079
	.oe(vcc),
5080
	.devclrn(devclrn),
5081
	.devpor(devpor),
5082
	.devoe(devoe),
5083
	.dataout(),
5084
	.padio(ide_cs1_n));
5085
// synopsys translate_off
5086
defparam \ide_cs1_n~I .feedback_mode = "none";
5087
defparam \ide_cs1_n~I .operation_mode = "output";
5088
defparam \ide_cs1_n~I .reg_source_mode = "none";
5089
// synopsys translate_on
5090
 
5091
// atom is at PIN_102
5092
flex10ke_io \ide_rs_n~I (
5093
	.datain(\myrst|rst_out_n~9 ),
5094
	.clk(gnd),
5095
	.ena(vcc),
5096
	.aclr(gnd),
5097
	.oe(vcc),
5098
	.devclrn(devclrn),
5099
	.devpor(devpor),
5100
	.devoe(devoe),
5101
	.dataout(),
5102
	.padio(ide_rs_n));
5103
// synopsys translate_off
5104
defparam \ide_rs_n~I .feedback_mode = "none";
5105
defparam \ide_rs_n~I .operation_mode = "output";
5106
defparam \ide_rs_n~I .reg_source_mode = "none";
5107
// synopsys translate_on
5108
 
5109
// atom is at PIN_101
5110
flex10ke_io \ide_rd_n~I (
5111
	.datain(\zports|ide_rd_n~66 ),
5112
	.clk(gnd),
5113
	.ena(vcc),
5114
	.aclr(gnd),
5115
	.oe(vcc),
5116
	.devclrn(devclrn),
5117
	.devpor(devpor),
5118
	.devoe(devoe),
5119
	.dataout(),
5120
	.padio(ide_rd_n));
5121
// synopsys translate_off
5122
defparam \ide_rd_n~I .feedback_mode = "none";
5123
defparam \ide_rd_n~I .operation_mode = "output";
5124
defparam \ide_rd_n~I .reg_source_mode = "none";
5125
// synopsys translate_on
5126
 
5127
// atom is at PIN_100
5128
flex10ke_io \ide_wr_n~I (
5129
	.datain(\zports|ide_wr_n~73 ),
5130
	.clk(gnd),
5131
	.ena(vcc),
5132
	.aclr(gnd),
5133
	.oe(vcc),
5134
	.devclrn(devclrn),
5135
	.devpor(devpor),
5136
	.devoe(devoe),
5137
	.dataout(),
5138
	.padio(ide_wr_n));
5139
// synopsys translate_off
5140
defparam \ide_wr_n~I .feedback_mode = "none";
5141
defparam \ide_wr_n~I .operation_mode = "output";
5142
defparam \ide_wr_n~I .reg_source_mode = "none";
5143
// synopsys translate_on
5144
 
5145
// atom is at PIN_56
5146
flex10ke_io \vg_clk~I (
5147
	.datain(\vgshka|vgclk_div4 [1]),
5148
	.clk(gnd),
5149
	.ena(vcc),
5150
	.aclr(gnd),
5151
	.oe(vcc),
5152
	.devclrn(devclrn),
5153
	.devpor(devpor),
5154
	.devoe(devoe),
5155
	.dataout(),
5156
	.padio(vg_clk));
5157
// synopsys translate_off
5158
defparam \vg_clk~I .feedback_mode = "none";
5159
defparam \vg_clk~I .operation_mode = "output";
5160
defparam \vg_clk~I .reg_source_mode = "none";
5161
// synopsys translate_on
5162
 
5163
// atom is at PIN_45
5164
flex10ke_io \vg_cs_n~I (
5165
	.datain(\zports|vg_cs_n ),
5166
	.clk(gnd),
5167
	.ena(vcc),
5168
	.aclr(gnd),
5169
	.oe(vcc),
5170
	.devclrn(devclrn),
5171
	.devpor(devpor),
5172
	.devoe(devoe),
5173
	.dataout(),
5174
	.padio(vg_cs_n));
5175
// synopsys translate_off
5176
defparam \vg_cs_n~I .feedback_mode = "none";
5177
defparam \vg_cs_n~I .operation_mode = "output";
5178
defparam \vg_cs_n~I .reg_source_mode = "none";
5179
// synopsys translate_on
5180
 
5181
// atom is at PIN_54
5182
flex10ke_io \vg_res_n~I (
5183
	.datain(\vgshka|vg_res_n ),
5184
	.clk(gnd),
5185
	.ena(vcc),
5186
	.aclr(gnd),
5187
	.oe(vcc),
5188
	.devclrn(devclrn),
5189
	.devpor(devpor),
5190
	.devoe(devoe),
5191
	.dataout(),
5192
	.padio(vg_res_n));
5193
// synopsys translate_off
5194
defparam \vg_res_n~I .feedback_mode = "none";
5195
defparam \vg_res_n~I .operation_mode = "output";
5196
defparam \vg_res_n~I .reg_source_mode = "none";
5197
// synopsys translate_on
5198
 
5199
// atom is at PIN_55
5200
flex10ke_io \vg_hrdy~I (
5201
	.datain(\vgshka|vg_hrdy ),
5202
	.clk(gnd),
5203
	.ena(vcc),
5204
	.aclr(gnd),
5205
	.oe(vcc),
5206
	.devclrn(devclrn),
5207
	.devpor(devpor),
5208
	.devoe(devoe),
5209
	.dataout(),
5210
	.padio(vg_hrdy));
5211
// synopsys translate_off
5212
defparam \vg_hrdy~I .feedback_mode = "none";
5213
defparam \vg_hrdy~I .operation_mode = "output";
5214
defparam \vg_hrdy~I .reg_source_mode = "none";
5215
// synopsys translate_on
5216
 
5217
// atom is at PIN_57
5218
flex10ke_io \vg_rclk~I (
5219
	.datain(\vgshka|vg_rclk ),
5220
	.clk(gnd),
5221
	.ena(vcc),
5222
	.aclr(gnd),
5223
	.oe(vcc),
5224
	.devclrn(devclrn),
5225
	.devpor(devpor),
5226
	.devoe(devoe),
5227
	.dataout(),
5228
	.padio(vg_rclk));
5229
// synopsys translate_off
5230
defparam \vg_rclk~I .feedback_mode = "none";
5231
defparam \vg_rclk~I .operation_mode = "output";
5232
defparam \vg_rclk~I .reg_source_mode = "none";
5233
// synopsys translate_on
5234
 
5235
// atom is at PIN_58
5236
flex10ke_io \vg_rawr~I (
5237
	.datain(\vgshka|vg_rawr ),
5238
	.clk(gnd),
5239
	.ena(vcc),
5240
	.aclr(gnd),
5241
	.oe(vcc),
5242
	.devclrn(devclrn),
5243
	.devpor(devpor),
5244
	.devoe(devoe),
5245
	.dataout(),
5246
	.padio(vg_rawr));
5247
// synopsys translate_off
5248
defparam \vg_rawr~I .feedback_mode = "none";
5249
defparam \vg_rawr~I .operation_mode = "output";
5250
defparam \vg_rawr~I .reg_source_mode = "none";
5251
// synopsys translate_on
5252
 
5253
// atom is at PIN_69
5254
flex10ke_io \vg_a[0]~I (
5255
	.datain(\vgshka|vg_a [0]),
5256
	.clk(gnd),
5257
	.ena(vcc),
5258
	.aclr(gnd),
5259
	.oe(vcc),
5260
	.devclrn(devclrn),
5261
	.devpor(devpor),
5262
	.devoe(devoe),
5263
	.dataout(),
5264
	.padio(vg_a[0]));
5265
// synopsys translate_off
5266
defparam \vg_a[0]~I .feedback_mode = "none";
5267
defparam \vg_a[0]~I .operation_mode = "output";
5268
defparam \vg_a[0]~I .reg_source_mode = "none";
5269
// synopsys translate_on
5270
 
5271
// atom is at PIN_70
5272
flex10ke_io \vg_a[1]~I (
5273
	.datain(\vgshka|vg_a [1]),
5274
	.clk(gnd),
5275
	.ena(vcc),
5276
	.aclr(gnd),
5277
	.oe(vcc),
5278
	.devclrn(devclrn),
5279
	.devpor(devpor),
5280
	.devoe(devoe),
5281
	.dataout(),
5282
	.padio(vg_a[1]));
5283
// synopsys translate_off
5284
defparam \vg_a[1]~I .feedback_mode = "none";
5285
defparam \vg_a[1]~I .operation_mode = "output";
5286
defparam \vg_a[1]~I .reg_source_mode = "none";
5287
// synopsys translate_on
5288
 
5289
// atom is at PIN_67
5290
flex10ke_io \vg_wrd~I (
5291
	.datain(\vgshka|vg_wrd ),
5292
	.clk(gnd),
5293
	.ena(vcc),
5294
	.aclr(gnd),
5295
	.oe(vcc),
5296
	.devclrn(devclrn),
5297
	.devpor(devpor),
5298
	.devoe(devoe),
5299
	.dataout(),
5300
	.padio(vg_wrd));
5301
// synopsys translate_off
5302
defparam \vg_wrd~I .feedback_mode = "none";
5303
defparam \vg_wrd~I .operation_mode = "output";
5304
defparam \vg_wrd~I .reg_source_mode = "none";
5305
// synopsys translate_on
5306
 
5307
// atom is at PIN_65
5308
flex10ke_io \vg_side~I (
5309
	.datain(\vgshka|vg_side ),
5310
	.clk(gnd),
5311
	.ena(vcc),
5312
	.aclr(gnd),
5313
	.oe(vcc),
5314
	.devclrn(devclrn),
5315
	.devpor(devpor),
5316
	.devoe(devoe),
5317
	.dataout(),
5318
	.padio(vg_side));
5319
// synopsys translate_off
5320
defparam \vg_side~I .feedback_mode = "none";
5321
defparam \vg_side~I .operation_mode = "output";
5322
defparam \vg_side~I .reg_source_mode = "none";
5323
// synopsys translate_on
5324
 
5325
// atom is at PIN_62
5326
flex10ke_io \vg_wf_de~I (
5327
	.datain(gnd),
5328
	.clk(gnd),
5329
	.ena(vcc),
5330
	.aclr(gnd),
5331
	.oe(gnd),
5332
	.devclrn(devclrn),
5333
	.devpor(devpor),
5334
	.devoe(devoe),
5335
	.dataout(),
5336
	.padio(vg_wf_de));
5337
// synopsys translate_off
5338
defparam \vg_wf_de~I .feedback_mode = "from_pin";
5339
defparam \vg_wf_de~I .operation_mode = "input";
5340
defparam \vg_wf_de~I .reg_source_mode = "none";
5341
// synopsys translate_on
5342
 
5343
// atom is at PIN_119
5344
flex10ke_io \sdcs_n~I (
5345
	.datain(!\zports|sdcs_n ),
5346
	.clk(gnd),
5347
	.ena(vcc),
5348
	.aclr(gnd),
5349
	.oe(vcc),
5350
	.devclrn(devclrn),
5351
	.devpor(devpor),
5352
	.devoe(devoe),
5353
	.dataout(),
5354
	.padio(sdcs_n));
5355
// synopsys translate_off
5356
defparam \sdcs_n~I .feedback_mode = "none";
5357
defparam \sdcs_n~I .operation_mode = "output";
5358
defparam \sdcs_n~I .reg_source_mode = "none";
5359
// synopsys translate_on
5360
 
5361
// atom is at PIN_116
5362
flex10ke_io \sddo~I (
5363
	.datain(\zspi|shiftout [7]),
5364
	.clk(gnd),
5365
	.ena(vcc),
5366
	.aclr(gnd),
5367
	.oe(vcc),
5368
	.devclrn(devclrn),
5369
	.devpor(devpor),
5370
	.devoe(devoe),
5371
	.dataout(),
5372
	.padio(sddo));
5373
// synopsys translate_off
5374
defparam \sddo~I .feedback_mode = "none";
5375
defparam \sddo~I .operation_mode = "output";
5376
defparam \sddo~I .reg_source_mode = "none";
5377
// synopsys translate_on
5378
 
5379
// atom is at PIN_114
5380
flex10ke_io \sdclk~I (
5381
	.datain(\zspi|counter_rtl_1|wysi_counter|q [0]),
5382
	.clk(gnd),
5383
	.ena(vcc),
5384
	.aclr(gnd),
5385
	.oe(vcc),
5386
	.devclrn(devclrn),
5387
	.devpor(devpor),
5388
	.devoe(devoe),
5389
	.dataout(),
5390
	.padio(sdclk));
5391
// synopsys translate_off
5392
defparam \sdclk~I .feedback_mode = "none";
5393
defparam \sdclk~I .operation_mode = "output";
5394
defparam \sdclk~I .reg_source_mode = "none";
5395
// synopsys translate_on
5396
 
5397
// atom is at PIN_158
5398
flex10ke_io \spidi~I (
5399
	.datain(\slavespi|shift_out [0]),
5400
	.clk(gnd),
5401
	.ena(vcc),
5402
	.aclr(gnd),
5403
	.oe(vcc),
5404
	.devclrn(devclrn),
5405
	.devpor(devpor),
5406
	.devoe(devoe),
5407
	.dataout(),
5408
	.padio(spidi));
5409
// synopsys translate_off
5410
defparam \spidi~I .feedback_mode = "none";
5411
defparam \spidi~I .operation_mode = "output";
5412
defparam \spidi~I .reg_source_mode = "none";
5413
// synopsys translate_on
5414
 
5415
// atom is at PIN_159
5416
flex10ke_io \spiint_n~I (
5417
	.datain(\zwait|WideNor0~1 ),
5418
	.clk(gnd),
5419
	.ena(vcc),
5420
	.aclr(gnd),
5421
	.oe(vcc),
5422
	.devclrn(devclrn),
5423
	.devpor(devpor),
5424
	.devoe(devoe),
5425
	.dataout(),
5426
	.padio(spiint_n));
5427
// synopsys translate_off
5428
defparam \spiint_n~I .feedback_mode = "none";
5429
defparam \spiint_n~I .operation_mode = "output";
5430
defparam \spiint_n~I .reg_source_mode = "none";
5431
// synopsys translate_on
5432
 
5433
endmodule
5434
 
5435
module arbiter (
5436
	Mux7,
5437
	cpu_rnw,
5438
	cpu_req,
5439
	Equal2,
5440
	next_cycle_0,
5441
	Selector1,
5442
	go,
5443
	next_cycle_1,
5444
	peff7_int_0,
5445
	cbeg,
5446
	pre_cend,
5447
	dcnt_0,
5448
	video_next,
5449
	dcnt_1,
5450
	next_cycle_01,
5451
	q_7,
5452
	peff7_int_5,
5453
	dram_addr_11,
5454
	dram_addr_12,
5455
	dram_addr_13,
5456
	q_2,
5457
	dram_addr_9,
5458
	q_6,
5459
	dram_addr_10,
5460
	cend,
5461
	video_strobe,
5462
	cpu_strobe,
5463
	q_1,
5464
	q_0,
5465
	dram_addr_7,
5466
	dram_addr_8,
5467
	clk,
5468
	devpor,
5469
	devclrn,
5470
	devoe);
5471
input 	Mux7;
5472
input 	cpu_rnw;
5473
input 	cpu_req;
5474
output 	Equal2;
5475
output 	next_cycle_0;
5476
input 	Selector1;
5477
input 	go;
5478
output 	next_cycle_1;
5479
input 	peff7_int_0;
5480
input 	cbeg;
5481
output 	pre_cend;
5482
input 	dcnt_0;
5483
output 	video_next;
5484
input 	dcnt_1;
5485
output 	next_cycle_01;
5486
input 	q_7;
5487
input 	peff7_int_5;
5488
output 	dram_addr_11;
5489
output 	dram_addr_12;
5490
output 	dram_addr_13;
5491
input 	q_2;
5492
output 	dram_addr_9;
5493
input 	q_6;
5494
output 	dram_addr_10;
5495
output 	cend;
5496
output 	video_strobe;
5497
output 	cpu_strobe;
5498
input 	q_1;
5499
input 	q_0;
5500
output 	dram_addr_7;
5501
output 	dram_addr_8;
5502
input 	clk;
5503
input 	devpor;
5504
input 	devclrn;
5505
input 	devoe;
5506
 
5507
wire gnd = 1'b0;
5508
wire vcc = 1'b1;
5509
 
5510
wire \dram_addr[7]~2028 ;
5511
wire \dram_addr[8]~2030 ;
5512
wire \Equal2~39 ;
5513
wire \always2~53 ;
5514
wire \blk_nrem[1]~68 ;
5515
wire \Equal1~3 ;
5516
wire \vid_nrem[2]~507 ;
5517
wire \vid_nrem[0]~505 ;
5518
wire \vid_nrem[0]~506 ;
5519
wire \vid_nrem[1]~508 ;
5520
wire \vid_nrem[1]~509 ;
5521
wire \next_cycle[0]~255 ;
5522
wire \next_cycle[1]~257 ;
5523
wire post_cbeg;
5524
wire \always8~3 ;
5525
wire \dram_addr[7]~2042 ;
5526
wire \dram_addr[8]~2045 ;
5527
wire [2:0] blk_rem;
5528
wire [1:0] curr_cycle;
5529
wire [2:0] vid_rem;
5530
 
5531
 
5532
// atom is at LC6_E8
5533
flex10ke_lcell \Equal2~38_I (
5534
// Equation(s):
5535
// Equal2 = !blk_rem[1] & !blk_rem[0] & !blk_rem[2]
5536
 
5537
	.dataa(vcc),
5538
	.datab(blk_rem[1]),
5539
	.datac(blk_rem[0]),
5540
	.datad(blk_rem[2]),
5541
	.aclr(gnd),
5542
	.aload(gnd),
5543
	.clk(gnd),
5544
	.cin(gnd),
5545
	.cascin(vcc),
5546
	.devclrn(devclrn),
5547
	.devpor(devpor),
5548
	.combout(Equal2),
5549
	.regout(),
5550
	.cout(),
5551
	.cascout());
5552
// synopsys translate_off
5553
defparam \Equal2~38_I .clock_enable_mode = "false";
5554
defparam \Equal2~38_I .lut_mask = "0003";
5555
defparam \Equal2~38_I .operation_mode = "normal";
5556
defparam \Equal2~38_I .output_mode = "comb_only";
5557
defparam \Equal2~38_I .packed_mode = "false";
5558
// synopsys translate_on
5559
 
5560
// atom is at LC5_E2
5561
flex10ke_lcell \next_cycle[0]~256_I (
5562
// Equation(s):
5563
// next_cycle_0 = \next_cycle[0]~255  & (vid_rem[1] $ !blk_rem[1])
5564
 
5565
	.dataa(vcc),
5566
	.datab(vid_rem[1]),
5567
	.datac(blk_rem[1]),
5568
	.datad(\next_cycle[0]~255 ),
5569
	.aclr(gnd),
5570
	.aload(gnd),
5571
	.clk(gnd),
5572
	.cin(gnd),
5573
	.cascin(vcc),
5574
	.devclrn(devclrn),
5575
	.devpor(devpor),
5576
	.combout(next_cycle_0),
5577
	.regout(),
5578
	.cout(),
5579
	.cascout());
5580
// synopsys translate_off
5581
defparam \next_cycle[0]~256_I .clock_enable_mode = "false";
5582
defparam \next_cycle[0]~256_I .lut_mask = "c300";
5583
defparam \next_cycle[0]~256_I .operation_mode = "normal";
5584
defparam \next_cycle[0]~256_I .output_mode = "comb_only";
5585
defparam \next_cycle[0]~256_I .packed_mode = "false";
5586
// synopsys translate_on
5587
 
5588
// atom is at LC4_E2
5589
flex10ke_lcell \next_cycle[1]~258_I (
5590
// Equation(s):
5591
// next_cycle_1 = !\always2~53  & !cpu_req & (\next_cycle[1]~257  # Equal2)
5592
 
5593
	.dataa(\always2~53 ),
5594
	.datab(cpu_req),
5595
	.datac(\next_cycle[1]~257 ),
5596
	.datad(Equal2),
5597
	.aclr(gnd),
5598
	.aload(gnd),
5599
	.clk(gnd),
5600
	.cin(gnd),
5601
	.cascin(vcc),
5602
	.devclrn(devclrn),
5603
	.devpor(devpor),
5604
	.combout(next_cycle_1),
5605
	.regout(),
5606
	.cout(),
5607
	.cascout());
5608
// synopsys translate_off
5609
defparam \next_cycle[1]~258_I .clock_enable_mode = "false";
5610
defparam \next_cycle[1]~258_I .lut_mask = "1110";
5611
defparam \next_cycle[1]~258_I .operation_mode = "normal";
5612
defparam \next_cycle[1]~258_I .output_mode = "comb_only";
5613
defparam \next_cycle[1]~258_I .packed_mode = "false";
5614
// synopsys translate_on
5615
 
5616
// atom is at LC2_I1
5617
flex10ke_lcell \pre_cend~I (
5618
// Equation(s):
5619
// pre_cend = DFFEA(post_cbeg, GLOBAL(\fclk~dataout ), , , , , )
5620
 
5621
	.dataa(vcc),
5622
	.datab(vcc),
5623
	.datac(vcc),
5624
	.datad(post_cbeg),
5625
	.aclr(gnd),
5626
	.aload(gnd),
5627
	.clk(clk),
5628
	.cin(gnd),
5629
	.cascin(vcc),
5630
	.devclrn(devclrn),
5631
	.devpor(devpor),
5632
	.combout(),
5633
	.regout(pre_cend),
5634
	.cout(),
5635
	.cascout());
5636
// synopsys translate_off
5637
defparam \pre_cend~I .clock_enable_mode = "false";
5638
defparam \pre_cend~I .lut_mask = "ff00";
5639
defparam \pre_cend~I .operation_mode = "normal";
5640
defparam \pre_cend~I .output_mode = "reg_only";
5641
defparam \pre_cend~I .packed_mode = "false";
5642
// synopsys translate_on
5643
 
5644
// atom is at LC6_I1
5645
flex10ke_lcell \video_next~I (
5646
// Equation(s):
5647
// video_next = DFFEA(!curr_cycle[0] & curr_cycle[1] & post_cbeg, GLOBAL(\fclk~dataout ), , , , , )
5648
 
5649
	.dataa(vcc),
5650
	.datab(curr_cycle[0]),
5651
	.datac(curr_cycle[1]),
5652
	.datad(post_cbeg),
5653
	.aclr(gnd),
5654
	.aload(gnd),
5655
	.clk(clk),
5656
	.cin(gnd),
5657
	.cascin(vcc),
5658
	.devclrn(devclrn),
5659
	.devpor(devpor),
5660
	.combout(),
5661
	.regout(video_next),
5662
	.cout(),
5663
	.cascout());
5664
// synopsys translate_off
5665
defparam \video_next~I .clock_enable_mode = "false";
5666
defparam \video_next~I .lut_mask = "3000";
5667
defparam \video_next~I .operation_mode = "normal";
5668
defparam \video_next~I .output_mode = "reg_only";
5669
defparam \video_next~I .packed_mode = "false";
5670
// synopsys translate_on
5671
 
5672
// atom is at LC3_E2
5673
flex10ke_lcell \next_cycle[0]~259_I (
5674
// Equation(s):
5675
// next_cycle_01 = cpu_req & (Equal2 # !next_cycle_0)
5676
 
5677
	.dataa(vcc),
5678
	.datab(next_cycle_0),
5679
	.datac(Equal2),
5680
	.datad(cpu_req),
5681
	.aclr(gnd),
5682
	.aload(gnd),
5683
	.clk(gnd),
5684
	.cin(gnd),
5685
	.cascin(vcc),
5686
	.devclrn(devclrn),
5687
	.devpor(devpor),
5688
	.combout(next_cycle_01),
5689
	.regout(),
5690
	.cout(),
5691
	.cascout());
5692
// synopsys translate_off
5693
defparam \next_cycle[0]~259_I .clock_enable_mode = "false";
5694
defparam \next_cycle[0]~259_I .lut_mask = "f300";
5695
defparam \next_cycle[0]~259_I .operation_mode = "normal";
5696
defparam \next_cycle[0]~259_I .output_mode = "comb_only";
5697
defparam \next_cycle[0]~259_I .packed_mode = "false";
5698
// synopsys translate_on
5699
 
5700
// atom is at LC8_I15
5701
flex10ke_lcell \dram_addr[11]~2017_I (
5702
// Equation(s):
5703
// dram_addr_11 = q_7 # !peff7_int_0 & !peff7_int_5 & dcnt_0
5704
 
5705
	.dataa(peff7_int_0),
5706
	.datab(peff7_int_5),
5707
	.datac(dcnt_0),
5708
	.datad(q_7),
5709
	.aclr(gnd),
5710
	.aload(gnd),
5711
	.clk(gnd),
5712
	.cin(gnd),
5713
	.cascin(vcc),
5714
	.devclrn(devclrn),
5715
	.devpor(devpor),
5716
	.combout(dram_addr_11),
5717
	.regout(),
5718
	.cout(),
5719
	.cascout());
5720
// synopsys translate_off
5721
defparam \dram_addr[11]~2017_I .clock_enable_mode = "false";
5722
defparam \dram_addr[11]~2017_I .lut_mask = "ff10";
5723
defparam \dram_addr[11]~2017_I .operation_mode = "normal";
5724
defparam \dram_addr[11]~2017_I .output_mode = "comb_only";
5725
defparam \dram_addr[11]~2017_I .packed_mode = "false";
5726
// synopsys translate_on
5727
 
5728
// atom is at LC2_I22
5729
flex10ke_lcell \dram_addr[12]~2018_I (
5730
// Equation(s):
5731
// dram_addr_12 = peff7_int_0 & (dcnt_1) # !peff7_int_0 & dcnt_0 & peff7_int_5
5732
 
5733
	.dataa(peff7_int_0),
5734
	.datab(dcnt_0),
5735
	.datac(peff7_int_5),
5736
	.datad(dcnt_1),
5737
	.aclr(gnd),
5738
	.aload(gnd),
5739
	.clk(gnd),
5740
	.cin(gnd),
5741
	.cascin(vcc),
5742
	.devclrn(devclrn),
5743
	.devpor(devpor),
5744
	.combout(dram_addr_12),
5745
	.regout(),
5746
	.cout(),
5747
	.cascout());
5748
// synopsys translate_off
5749
defparam \dram_addr[12]~2018_I .clock_enable_mode = "false";
5750
defparam \dram_addr[12]~2018_I .lut_mask = "ea40";
5751
defparam \dram_addr[12]~2018_I .operation_mode = "normal";
5752
defparam \dram_addr[12]~2018_I .output_mode = "comb_only";
5753
defparam \dram_addr[12]~2018_I .packed_mode = "false";
5754
// synopsys translate_on
5755
 
5756
// atom is at LC7_I13
5757
flex10ke_lcell \dram_addr[13]~2019_I (
5758
// Equation(s):
5759
// dram_addr_13 = next_cycle_01 & (Mux7) # !next_cycle_01 & (dcnt_0 # !peff7_int_0)
5760
 
5761
	.dataa(next_cycle_01),
5762
	.datab(peff7_int_0),
5763
	.datac(dcnt_0),
5764
	.datad(Mux7),
5765
	.aclr(gnd),
5766
	.aload(gnd),
5767
	.clk(gnd),
5768
	.cin(gnd),
5769
	.cascin(vcc),
5770
	.devclrn(devclrn),
5771
	.devpor(devpor),
5772
	.combout(dram_addr_13),
5773
	.regout(),
5774
	.cout(),
5775
	.cascout());
5776
// synopsys translate_off
5777
defparam \dram_addr[13]~2019_I .clock_enable_mode = "false";
5778
defparam \dram_addr[13]~2019_I .lut_mask = "fb51";
5779
defparam \dram_addr[13]~2019_I .operation_mode = "normal";
5780
defparam \dram_addr[13]~2019_I .output_mode = "comb_only";
5781
defparam \dram_addr[13]~2019_I .packed_mode = "false";
5782
// synopsys translate_on
5783
 
5784
// atom is at LC2_I19
5785
flex10ke_lcell \dram_addr[9]~2026_I (
5786
// Equation(s):
5787
// dram_addr_9 = q_21 & (peff7_int_0 # peff7_int_5 # !dcnt_0)
5788
 
5789
	.dataa(dcnt_0),
5790
	.datab(peff7_int_0),
5791
	.datac(peff7_int_5),
5792
	.datad(q_2),
5793
	.aclr(gnd),
5794
	.aload(gnd),
5795
	.clk(gnd),
5796
	.cin(gnd),
5797
	.cascin(vcc),
5798
	.devclrn(devclrn),
5799
	.devpor(devpor),
5800
	.combout(dram_addr_9),
5801
	.regout(),
5802
	.cout(),
5803
	.cascout());
5804
// synopsys translate_off
5805
defparam \dram_addr[9]~2026_I .clock_enable_mode = "false";
5806
defparam \dram_addr[9]~2026_I .lut_mask = "fd00";
5807
defparam \dram_addr[9]~2026_I .operation_mode = "normal";
5808
defparam \dram_addr[9]~2026_I .output_mode = "comb_only";
5809
defparam \dram_addr[9]~2026_I .packed_mode = "false";
5810
// synopsys translate_on
5811
 
5812
// atom is at LC7_I19
5813
flex10ke_lcell \dram_addr[10]~2027_I (
5814
// Equation(s):
5815
// dram_addr_10 = q_6 # !peff7_int_0 & !peff7_int_5 & dcnt_0
5816
 
5817
	.dataa(peff7_int_0),
5818
	.datab(peff7_int_5),
5819
	.datac(dcnt_0),
5820
	.datad(q_6),
5821
	.aclr(gnd),
5822
	.aload(gnd),
5823
	.clk(gnd),
5824
	.cin(gnd),
5825
	.cascin(vcc),
5826
	.devclrn(devclrn),
5827
	.devpor(devpor),
5828
	.combout(dram_addr_10),
5829
	.regout(),
5830
	.cout(),
5831
	.cascout());
5832
// synopsys translate_off
5833
defparam \dram_addr[10]~2027_I .clock_enable_mode = "false";
5834
defparam \dram_addr[10]~2027_I .lut_mask = "ff10";
5835
defparam \dram_addr[10]~2027_I .operation_mode = "normal";
5836
defparam \dram_addr[10]~2027_I .output_mode = "comb_only";
5837
defparam \dram_addr[10]~2027_I .packed_mode = "false";
5838
// synopsys translate_on
5839
 
5840
// atom is at LC4_I1
5841
flex10ke_lcell \cend~I (
5842
// Equation(s):
5843
// cend = DFFEA(pre_cend, GLOBAL(\fclk~dataout ), , , , , )
5844
 
5845
	.dataa(vcc),
5846
	.datab(vcc),
5847
	.datac(vcc),
5848
	.datad(pre_cend),
5849
	.aclr(gnd),
5850
	.aload(gnd),
5851
	.clk(clk),
5852
	.cin(gnd),
5853
	.cascin(vcc),
5854
	.devclrn(devclrn),
5855
	.devpor(devpor),
5856
	.combout(),
5857
	.regout(cend),
5858
	.cout(),
5859
	.cascout());
5860
// synopsys translate_off
5861
defparam \cend~I .clock_enable_mode = "false";
5862
defparam \cend~I .lut_mask = "ff00";
5863
defparam \cend~I .operation_mode = "normal";
5864
defparam \cend~I .output_mode = "reg_only";
5865
defparam \cend~I .packed_mode = "false";
5866
// synopsys translate_on
5867
 
5868
// atom is at LC8_I1
5869
flex10ke_lcell \video_strobe~I (
5870
// Equation(s):
5871
// video_strobe = DFFEA(!curr_cycle[0] & curr_cycle[1] & pre_cend, GLOBAL(\fclk~dataout ), , , , , )
5872
 
5873
	.dataa(vcc),
5874
	.datab(curr_cycle[0]),
5875
	.datac(curr_cycle[1]),
5876
	.datad(pre_cend),
5877
	.aclr(gnd),
5878
	.aload(gnd),
5879
	.clk(clk),
5880
	.cin(gnd),
5881
	.cascin(vcc),
5882
	.devclrn(devclrn),
5883
	.devpor(devpor),
5884
	.combout(),
5885
	.regout(video_strobe),
5886
	.cout(),
5887
	.cascout());
5888
// synopsys translate_off
5889
defparam \video_strobe~I .clock_enable_mode = "false";
5890
defparam \video_strobe~I .lut_mask = "3000";
5891
defparam \video_strobe~I .operation_mode = "normal";
5892
defparam \video_strobe~I .output_mode = "reg_only";
5893
defparam \video_strobe~I .packed_mode = "false";
5894
// synopsys translate_on
5895
 
5896
// atom is at LC7_I1
5897
flex10ke_lcell \cpu_strobe~I (
5898
// Equation(s):
5899
// cpu_strobe = DFFEA(\always8~3  # !next_cycle_1 & cend & Selector1, GLOBAL(\fclk~dataout ), , , , , )
5900
 
5901
	.dataa(next_cycle_1),
5902
	.datab(cend),
5903
	.datac(Selector1),
5904
	.datad(\always8~3 ),
5905
	.aclr(gnd),
5906
	.aload(gnd),
5907
	.clk(clk),
5908
	.cin(gnd),
5909
	.cascin(vcc),
5910
	.devclrn(devclrn),
5911
	.devpor(devpor),
5912
	.combout(),
5913
	.regout(cpu_strobe),
5914
	.cout(),
5915
	.cascout());
5916
// synopsys translate_off
5917
defparam \cpu_strobe~I .clock_enable_mode = "false";
5918
defparam \cpu_strobe~I .lut_mask = "ff40";
5919
defparam \cpu_strobe~I .operation_mode = "normal";
5920
defparam \cpu_strobe~I .output_mode = "reg_only";
5921
defparam \cpu_strobe~I .packed_mode = "false";
5922
// synopsys translate_on
5923
 
5924
// atom is at LC5_I19
5925
flex10ke_lcell \dram_addr[7]~2032_I (
5926
// Equation(s):
5927
// dram_addr_7 = (peff7_int_0 # peff7_int_5 # !dcnt_0 # !q_6) & CASCADE(\dram_addr[7]~2042 )
5928
 
5929
	.dataa(q_6),
5930
	.datab(dcnt_0),
5931
	.datac(peff7_int_0),
5932
	.datad(peff7_int_5),
5933
	.aclr(gnd),
5934
	.aload(gnd),
5935
	.clk(gnd),
5936
	.cin(gnd),
5937
	.cascin(\dram_addr[7]~2042 ),
5938
	.devclrn(devclrn),
5939
	.devpor(devpor),
5940
	.combout(dram_addr_7),
5941
	.regout(),
5942
	.cout(),
5943
	.cascout());
5944
// synopsys translate_off
5945
defparam \dram_addr[7]~2032_I .clock_enable_mode = "false";
5946
defparam \dram_addr[7]~2032_I .lut_mask = "fff7";
5947
defparam \dram_addr[7]~2032_I .operation_mode = "normal";
5948
defparam \dram_addr[7]~2032_I .output_mode = "comb_only";
5949
defparam \dram_addr[7]~2032_I .packed_mode = "false";
5950
// synopsys translate_on
5951
 
5952
// atom is at LC3_I15
5953
flex10ke_lcell \dram_addr[8]~2033_I (
5954
// Equation(s):
5955
// dram_addr_8 = (peff7_int_0 # peff7_int_5 # !q_7 # !dcnt_0) & CASCADE(\dram_addr[8]~2045 )
5956
 
5957
	.dataa(dcnt_0),
5958
	.datab(q_7),
5959
	.datac(peff7_int_0),
5960
	.datad(peff7_int_5),
5961
	.aclr(gnd),
5962
	.aload(gnd),
5963
	.clk(gnd),
5964
	.cin(gnd),
5965
	.cascin(\dram_addr[8]~2045 ),
5966
	.devclrn(devclrn),
5967
	.devpor(devpor),
5968
	.combout(dram_addr_8),
5969
	.regout(),
5970
	.cout(),
5971
	.cascout());
5972
// synopsys translate_off
5973
defparam \dram_addr[8]~2033_I .clock_enable_mode = "false";
5974
defparam \dram_addr[8]~2033_I .lut_mask = "fff7";
5975
defparam \dram_addr[8]~2033_I .operation_mode = "normal";
5976
defparam \dram_addr[8]~2033_I .output_mode = "comb_only";
5977
defparam \dram_addr[8]~2033_I .packed_mode = "false";
5978
// synopsys translate_on
5979
 
5980
// atom is at LC7_E8
5981
flex10ke_lcell \Equal2~39_I (
5982
// Equation(s):
5983
// \Equal2~39  = !blk_rem[1] & !blk_rem[0]
5984
 
5985
	.dataa(vcc),
5986
	.datab(vcc),
5987
	.datac(blk_rem[1]),
5988
	.datad(blk_rem[0]),
5989
	.aclr(gnd),
5990
	.aload(gnd),
5991
	.clk(gnd),
5992
	.cin(gnd),
5993
	.cascin(vcc),
5994
	.devclrn(devclrn),
5995
	.devpor(devpor),
5996
	.combout(\Equal2~39 ),
5997
	.regout(),
5998
	.cout(),
5999
	.cascout());
6000
// synopsys translate_off
6001
defparam \Equal2~39_I .clock_enable_mode = "false";
6002
defparam \Equal2~39_I .lut_mask = "000f";
6003
defparam \Equal2~39_I .operation_mode = "normal";
6004
defparam \Equal2~39_I .output_mode = "comb_only";
6005
defparam \Equal2~39_I .packed_mode = "false";
6006
// synopsys translate_on
6007
 
6008
// atom is at LC2_E8
6009
flex10ke_lcell \blk_rem[2]~I (
6010
// Equation(s):
6011
// blk_rem[2] = DFFEA(\always2~53  $ (!\Equal2~39  & blk_rem[2]), GLOBAL(\fclk~dataout ), , , cend, , )
6012
 
6013
	.dataa(cend),
6014
	.datab(\always2~53 ),
6015
	.datac(\Equal2~39 ),
6016
	.datad(blk_rem[2]),
6017
	.aclr(gnd),
6018
	.aload(gnd),
6019
	.clk(clk),
6020
	.cin(gnd),
6021
	.cascin(vcc),
6022
	.devclrn(devclrn),
6023
	.devpor(devpor),
6024
	.combout(),
6025
	.regout(blk_rem[2]),
6026
	.cout(),
6027
	.cascout());
6028
// synopsys translate_off
6029
defparam \blk_rem[2]~I .clock_enable_mode = "true";
6030
defparam \blk_rem[2]~I .lut_mask = "c3cc";
6031
defparam \blk_rem[2]~I .operation_mode = "normal";
6032
defparam \blk_rem[2]~I .output_mode = "reg_only";
6033
defparam \blk_rem[2]~I .packed_mode = "false";
6034
// synopsys translate_on
6035
 
6036
// atom is at LC4_E8
6037
flex10ke_lcell \always2~53_I (
6038
// Equation(s):
6039
// \always2~53  = !blk_rem[1] & !blk_rem[0] & !blk_rem[2] & go
6040
 
6041
	.dataa(blk_rem[1]),
6042
	.datab(blk_rem[0]),
6043
	.datac(blk_rem[2]),
6044
	.datad(go),
6045
	.aclr(gnd),
6046
	.aload(gnd),
6047
	.clk(gnd),
6048
	.cin(gnd),
6049
	.cascin(vcc),
6050
	.devclrn(devclrn),
6051
	.devpor(devpor),
6052
	.combout(\always2~53 ),
6053
	.regout(),
6054
	.cout(),
6055
	.cascout());
6056
// synopsys translate_off
6057
defparam \always2~53_I .clock_enable_mode = "false";
6058
defparam \always2~53_I .lut_mask = "0100";
6059
defparam \always2~53_I .operation_mode = "normal";
6060
defparam \always2~53_I .output_mode = "comb_only";
6061
defparam \always2~53_I .packed_mode = "false";
6062
// synopsys translate_on
6063
 
6064
// atom is at LC3_E8
6065
flex10ke_lcell \blk_rem[0]~I (
6066
// Equation(s):
6067
// blk_rem[0] = DFFEA(\always2~53  $ (!Equal2 & !blk_rem[0]), GLOBAL(\fclk~dataout ), , , cend, , )
6068
 
6069
	.dataa(cend),
6070
	.datab(\always2~53 ),
6071
	.datac(Equal2),
6072
	.datad(blk_rem[0]),
6073
	.aclr(gnd),
6074
	.aload(gnd),
6075
	.clk(clk),
6076
	.cin(gnd),
6077
	.cascin(vcc),
6078
	.devclrn(devclrn),
6079
	.devpor(devpor),
6080
	.combout(),
6081
	.regout(blk_rem[0]),
6082
	.cout(),
6083
	.cascout());
6084
// synopsys translate_off
6085
defparam \blk_rem[0]~I .clock_enable_mode = "true";
6086
defparam \blk_rem[0]~I .lut_mask = "ccc3";
6087
defparam \blk_rem[0]~I .operation_mode = "normal";
6088
defparam \blk_rem[0]~I .output_mode = "reg_only";
6089
defparam \blk_rem[0]~I .packed_mode = "false";
6090
// synopsys translate_on
6091
 
6092
// atom is at LC8_E8
6093
flex10ke_lcell \blk_nrem[1]~68_I (
6094
// Equation(s):
6095
// \blk_nrem[1]~68  = blk_rem[1] & !blk_rem[0] # !blk_rem[1] & (blk_rem[0] # !go & !blk_rem[2])
6096
 
6097
	.dataa(blk_rem[1]),
6098
	.datab(blk_rem[0]),
6099
	.datac(go),
6100
	.datad(blk_rem[2]),
6101
	.aclr(gnd),
6102
	.aload(gnd),
6103
	.clk(gnd),
6104
	.cin(gnd),
6105
	.cascin(vcc),
6106
	.devclrn(devclrn),
6107
	.devpor(devpor),
6108
	.combout(\blk_nrem[1]~68 ),
6109
	.regout(),
6110
	.cout(),
6111
	.cascout());
6112
// synopsys translate_off
6113
defparam \blk_nrem[1]~68_I .clock_enable_mode = "false";
6114
defparam \blk_nrem[1]~68_I .lut_mask = "6667";
6115
defparam \blk_nrem[1]~68_I .operation_mode = "normal";
6116
defparam \blk_nrem[1]~68_I .output_mode = "comb_only";
6117
defparam \blk_nrem[1]~68_I .packed_mode = "false";
6118
// synopsys translate_on
6119
 
6120
// atom is at LC5_E8
6121
flex10ke_lcell \blk_rem[1]~I (
6122
// Equation(s):
6123
// blk_rem[1] = DFFEA(!\blk_nrem[1]~68 , GLOBAL(\fclk~dataout ), , , cend, , )
6124
 
6125
	.dataa(cend),
6126
	.datab(vcc),
6127
	.datac(vcc),
6128
	.datad(\blk_nrem[1]~68 ),
6129
	.aclr(gnd),
6130
	.aload(gnd),
6131
	.clk(clk),
6132
	.cin(gnd),
6133
	.cascin(vcc),
6134
	.devclrn(devclrn),
6135
	.devpor(devpor),
6136
	.combout(),
6137
	.regout(blk_rem[1]),
6138
	.cout(),
6139
	.cascout());
6140
// synopsys translate_off
6141
defparam \blk_rem[1]~I .clock_enable_mode = "true";
6142
defparam \blk_rem[1]~I .lut_mask = "00ff";
6143
defparam \blk_rem[1]~I .operation_mode = "normal";
6144
defparam \blk_rem[1]~I .output_mode = "reg_only";
6145
defparam \blk_rem[1]~I .packed_mode = "false";
6146
// synopsys translate_on
6147
 
6148
// atom is at LC2_E2
6149
flex10ke_lcell \Equal1~3_I (
6150
// Equation(s):
6151
// \Equal1~3  = next_cycle_1 # cpu_req & (Equal2 # !next_cycle_0)
6152
 
6153
	.dataa(next_cycle_0),
6154
	.datab(Equal2),
6155
	.datac(cpu_req),
6156
	.datad(next_cycle_1),
6157
	.aclr(gnd),
6158
	.aload(gnd),
6159
	.clk(gnd),
6160
	.cin(gnd),
6161
	.cascin(vcc),
6162
	.devclrn(devclrn),
6163
	.devpor(devpor),
6164
	.combout(\Equal1~3 ),
6165
	.regout(),
6166
	.cout(),
6167
	.cascout());
6168
// synopsys translate_off
6169
defparam \Equal1~3_I .clock_enable_mode = "false";
6170
defparam \Equal1~3_I .lut_mask = "ffd0";
6171
defparam \Equal1~3_I .operation_mode = "normal";
6172
defparam \Equal1~3_I .output_mode = "comb_only";
6173
defparam \Equal1~3_I .packed_mode = "false";
6174
// synopsys translate_on
6175
 
6176
// atom is at LC6_E1
6177
flex10ke_lcell \vid_nrem[2]~507_I (
6178
// Equation(s):
6179
// \vid_nrem[2]~507  = vid_rem[2] & (vid_rem[1] # vid_rem[0] # \Equal1~3 )
6180
 
6181
	.dataa(vid_rem[1]),
6182
	.datab(vid_rem[0]),
6183
	.datac(\Equal1~3 ),
6184
	.datad(vid_rem[2]),
6185
	.aclr(gnd),
6186
	.aload(gnd),
6187
	.clk(gnd),
6188
	.cin(gnd),
6189
	.cascin(vcc),
6190
	.devclrn(devclrn),
6191
	.devpor(devpor),
6192
	.combout(\vid_nrem[2]~507 ),
6193
	.regout(),
6194
	.cout(),
6195
	.cascout());
6196
// synopsys translate_off
6197
defparam \vid_nrem[2]~507_I .clock_enable_mode = "false";
6198
defparam \vid_nrem[2]~507_I .lut_mask = "fe00";
6199
defparam \vid_nrem[2]~507_I .operation_mode = "normal";
6200
defparam \vid_nrem[2]~507_I .output_mode = "comb_only";
6201
defparam \vid_nrem[2]~507_I .packed_mode = "false";
6202
// synopsys translate_on
6203
 
6204
// atom is at LC5_E1
6205
flex10ke_lcell \vid_rem[2]~I (
6206
// Equation(s):
6207
// vid_rem[2] = DFFEA(!\always2~53  & \vid_nrem[2]~507 , GLOBAL(\fclk~dataout ), , , cend, , )
6208
 
6209
	.dataa(cend),
6210
	.datab(vcc),
6211
	.datac(\always2~53 ),
6212
	.datad(\vid_nrem[2]~507 ),
6213
	.aclr(gnd),
6214
	.aload(gnd),
6215
	.clk(clk),
6216
	.cin(gnd),
6217
	.cascin(vcc),
6218
	.devclrn(devclrn),
6219
	.devpor(devpor),
6220
	.combout(),
6221
	.regout(vid_rem[2]),
6222
	.cout(),
6223
	.cascout());
6224
// synopsys translate_off
6225
defparam \vid_rem[2]~I .clock_enable_mode = "true";
6226
defparam \vid_rem[2]~I .lut_mask = "0f00";
6227
defparam \vid_rem[2]~I .operation_mode = "normal";
6228
defparam \vid_rem[2]~I .output_mode = "reg_only";
6229
defparam \vid_rem[2]~I .packed_mode = "false";
6230
// synopsys translate_on
6231
 
6232
// atom is at LC1_E1
6233
flex10ke_lcell \vid_nrem[0]~505_I (
6234
// Equation(s):
6235
// \vid_nrem[0]~505  = \Equal1~3  & (vid_rem[0]) # !\Equal1~3  & !vid_rem[0] & (vid_rem[1] # vid_rem[2])
6236
 
6237
	.dataa(vid_rem[1]),
6238
	.datab(vid_rem[2]),
6239
	.datac(\Equal1~3 ),
6240
	.datad(vid_rem[0]),
6241
	.aclr(gnd),
6242
	.aload(gnd),
6243
	.clk(gnd),
6244
	.cin(gnd),
6245
	.cascin(vcc),
6246
	.devclrn(devclrn),
6247
	.devpor(devpor),
6248
	.combout(\vid_nrem[0]~505 ),
6249
	.regout(),
6250
	.cout(),
6251
	.cascout());
6252
// synopsys translate_off
6253
defparam \vid_nrem[0]~505_I .clock_enable_mode = "false";
6254
defparam \vid_nrem[0]~505_I .lut_mask = "f00e";
6255
defparam \vid_nrem[0]~505_I .operation_mode = "normal";
6256
defparam \vid_nrem[0]~505_I .output_mode = "comb_only";
6257
defparam \vid_nrem[0]~505_I .packed_mode = "false";
6258
// synopsys translate_on
6259
 
6260
// atom is at LC3_E1
6261
flex10ke_lcell \vid_nrem[0]~506_I (
6262
// Equation(s):
6263
// \vid_nrem[0]~506  = \always2~53  & (peff7_int_0 $ cpu_req) # !\always2~53  & (\vid_nrem[0]~505 )
6264
 
6265
	.dataa(peff7_int_0),
6266
	.datab(cpu_req),
6267
	.datac(\always2~53 ),
6268
	.datad(\vid_nrem[0]~505 ),
6269
	.aclr(gnd),
6270
	.aload(gnd),
6271
	.clk(gnd),
6272
	.cin(gnd),
6273
	.cascin(vcc),
6274
	.devclrn(devclrn),
6275
	.devpor(devpor),
6276
	.combout(\vid_nrem[0]~506 ),
6277
	.regout(),
6278
	.cout(),
6279
	.cascout());
6280
// synopsys translate_off
6281
defparam \vid_nrem[0]~506_I .clock_enable_mode = "false";
6282
defparam \vid_nrem[0]~506_I .lut_mask = "6f60";
6283
defparam \vid_nrem[0]~506_I .operation_mode = "normal";
6284
defparam \vid_nrem[0]~506_I .output_mode = "comb_only";
6285
defparam \vid_nrem[0]~506_I .packed_mode = "false";
6286
// synopsys translate_on
6287
 
6288
// atom is at LC2_E1
6289
flex10ke_lcell \vid_rem[0]~I (
6290
// Equation(s):
6291
// vid_rem[0] = DFFEA(\vid_nrem[0]~506 , GLOBAL(\fclk~dataout ), , , cend, , )
6292
 
6293
	.dataa(cend),
6294
	.datab(vcc),
6295
	.datac(vcc),
6296
	.datad(\vid_nrem[0]~506 ),
6297
	.aclr(gnd),
6298
	.aload(gnd),
6299
	.clk(clk),
6300
	.cin(gnd),
6301
	.cascin(vcc),
6302
	.devclrn(devclrn),
6303
	.devpor(devpor),
6304
	.combout(),
6305
	.regout(vid_rem[0]),
6306
	.cout(),
6307
	.cascout());
6308
// synopsys translate_off
6309
defparam \vid_rem[0]~I .clock_enable_mode = "true";
6310
defparam \vid_rem[0]~I .lut_mask = "ff00";
6311
defparam \vid_rem[0]~I .operation_mode = "normal";
6312
defparam \vid_rem[0]~I .output_mode = "reg_only";
6313
defparam \vid_rem[0]~I .packed_mode = "false";
6314
// synopsys translate_on
6315
 
6316
// atom is at LC7_E1
6317
flex10ke_lcell \vid_nrem[1]~508_I (
6318
// Equation(s):
6319
// \vid_nrem[1]~508  = vid_rem[0] & (vid_rem[1]) # !vid_rem[0] & (\Equal1~3  & (vid_rem[1]) # !\Equal1~3  & vid_rem[2] & !vid_rem[1])
6320
 
6321
	.dataa(vid_rem[2]),
6322
	.datab(vid_rem[0]),
6323
	.datac(\Equal1~3 ),
6324
	.datad(vid_rem[1]),
6325
	.aclr(gnd),
6326
	.aload(gnd),
6327
	.clk(gnd),
6328
	.cin(gnd),
6329
	.cascin(vcc),
6330
	.devclrn(devclrn),
6331
	.devpor(devpor),
6332
	.combout(\vid_nrem[1]~508 ),
6333
	.regout(),
6334
	.cout(),
6335
	.cascout());
6336
// synopsys translate_off
6337
defparam \vid_nrem[1]~508_I .clock_enable_mode = "false";
6338
defparam \vid_nrem[1]~508_I .lut_mask = "fc02";
6339
defparam \vid_nrem[1]~508_I .operation_mode = "normal";
6340
defparam \vid_nrem[1]~508_I .output_mode = "comb_only";
6341
defparam \vid_nrem[1]~508_I .packed_mode = "false";
6342
// synopsys translate_on
6343
 
6344
// atom is at LC8_E1
6345
flex10ke_lcell \vid_nrem[1]~509_I (
6346
// Equation(s):
6347
// \vid_nrem[1]~509  = \always2~53  & (peff7_int_0 & cpu_req) # !\always2~53  & \vid_nrem[1]~508 
6348
 
6349
	.dataa(\always2~53 ),
6350
	.datab(\vid_nrem[1]~508 ),
6351
	.datac(peff7_int_0),
6352
	.datad(cpu_req),
6353
	.aclr(gnd),
6354
	.aload(gnd),
6355
	.clk(gnd),
6356
	.cin(gnd),
6357
	.cascin(vcc),
6358
	.devclrn(devclrn),
6359
	.devpor(devpor),
6360
	.combout(\vid_nrem[1]~509 ),
6361
	.regout(),
6362
	.cout(),
6363
	.cascout());
6364
// synopsys translate_off
6365
defparam \vid_nrem[1]~509_I .clock_enable_mode = "false";
6366
defparam \vid_nrem[1]~509_I .lut_mask = "e444";
6367
defparam \vid_nrem[1]~509_I .operation_mode = "normal";
6368
defparam \vid_nrem[1]~509_I .output_mode = "comb_only";
6369
defparam \vid_nrem[1]~509_I .packed_mode = "false";
6370
// synopsys translate_on
6371
 
6372
// atom is at LC4_E1
6373
flex10ke_lcell \vid_rem[1]~I (
6374
// Equation(s):
6375
// vid_rem[1] = DFFEA(\vid_nrem[1]~509 , GLOBAL(\fclk~dataout ), , , cend, , )
6376
 
6377
	.dataa(cend),
6378
	.datab(vcc),
6379
	.datac(vcc),
6380
	.datad(\vid_nrem[1]~509 ),
6381
	.aclr(gnd),
6382
	.aload(gnd),
6383
	.clk(clk),
6384
	.cin(gnd),
6385
	.cascin(vcc),
6386
	.devclrn(devclrn),
6387
	.devpor(devpor),
6388
	.combout(),
6389
	.regout(vid_rem[1]),
6390
	.cout(),
6391
	.cascout());
6392
// synopsys translate_off
6393
defparam \vid_rem[1]~I .clock_enable_mode = "true";
6394
defparam \vid_rem[1]~I .lut_mask = "ff00";
6395
defparam \vid_rem[1]~I .operation_mode = "normal";
6396
defparam \vid_rem[1]~I .output_mode = "reg_only";
6397
defparam \vid_rem[1]~I .packed_mode = "false";
6398
// synopsys translate_on
6399
 
6400
// atom is at LC1_E8
6401
flex10ke_lcell \next_cycle[0]~255_I (
6402
// Equation(s):
6403
// \next_cycle[0]~255  = vid_rem[2] & blk_rem[2] & (vid_rem[0] $ !blk_rem[0]) # !vid_rem[2] & !blk_rem[2] & (vid_rem[0] $ !blk_rem[0])
6404
 
6405
	.dataa(vid_rem[2]),
6406
	.datab(vid_rem[0]),
6407
	.datac(blk_rem[0]),
6408
	.datad(blk_rem[2]),
6409
	.aclr(gnd),
6410
	.aload(gnd),
6411
	.clk(gnd),
6412
	.cin(gnd),
6413
	.cascin(vcc),
6414
	.devclrn(devclrn),
6415
	.devpor(devpor),
6416
	.combout(\next_cycle[0]~255 ),
6417
	.regout(),
6418
	.cout(),
6419
	.cascout());
6420
// synopsys translate_off
6421
defparam \next_cycle[0]~255_I .clock_enable_mode = "false";
6422
defparam \next_cycle[0]~255_I .lut_mask = "8241";
6423
defparam \next_cycle[0]~255_I .operation_mode = "normal";
6424
defparam \next_cycle[0]~255_I .output_mode = "comb_only";
6425
defparam \next_cycle[0]~255_I .packed_mode = "false";
6426
// synopsys translate_on
6427
 
6428
// atom is at LC6_E2
6429
flex10ke_lcell \next_cycle[1]~257_I (
6430
// Equation(s):
6431
// \next_cycle[1]~257  = !vid_rem[1] & !vid_rem[0] & !vid_rem[2] & !next_cycle_0
6432
 
6433
	.dataa(vid_rem[1]),
6434
	.datab(vid_rem[0]),
6435
	.datac(vid_rem[2]),
6436
	.datad(next_cycle_0),
6437
	.aclr(gnd),
6438
	.aload(gnd),
6439
	.clk(gnd),
6440
	.cin(gnd),
6441
	.cascin(vcc),
6442
	.devclrn(devclrn),
6443
	.devpor(devpor),
6444
	.combout(\next_cycle[1]~257 ),
6445
	.regout(),
6446
	.cout(),
6447
	.cascout());
6448
// synopsys translate_off
6449
defparam \next_cycle[1]~257_I .clock_enable_mode = "false";
6450
defparam \next_cycle[1]~257_I .lut_mask = "0001";
6451
defparam \next_cycle[1]~257_I .operation_mode = "normal";
6452
defparam \next_cycle[1]~257_I .output_mode = "comb_only";
6453
defparam \next_cycle[1]~257_I .packed_mode = "false";
6454
// synopsys translate_on
6455
 
6456
// atom is at LC1_I1
6457
flex10ke_lcell \post_cbeg~I (
6458
// Equation(s):
6459
// post_cbeg = DFFEA(cbeg, GLOBAL(\fclk~dataout ), , , , , )
6460
 
6461
	.dataa(vcc),
6462
	.datab(vcc),
6463
	.datac(vcc),
6464
	.datad(cbeg),
6465
	.aclr(gnd),
6466
	.aload(gnd),
6467
	.clk(clk),
6468
	.cin(gnd),
6469
	.cascin(vcc),
6470
	.devclrn(devclrn),
6471
	.devpor(devpor),
6472
	.combout(),
6473
	.regout(post_cbeg),
6474
	.cout(),
6475
	.cascout());
6476
// synopsys translate_off
6477
defparam \post_cbeg~I .clock_enable_mode = "false";
6478
defparam \post_cbeg~I .lut_mask = "ff00";
6479
defparam \post_cbeg~I .operation_mode = "normal";
6480
defparam \post_cbeg~I .output_mode = "reg_only";
6481
defparam \post_cbeg~I .packed_mode = "false";
6482
// synopsys translate_on
6483
 
6484
// atom is at LC8_E2
6485
flex10ke_lcell \curr_cycle[0]~I (
6486
// Equation(s):
6487
// curr_cycle[0] = DFFEA(cpu_req & (Equal2 # !next_cycle_0), GLOBAL(\fclk~dataout ), , , cend, , )
6488
 
6489
	.dataa(cend),
6490
	.datab(next_cycle_0),
6491
	.datac(cpu_req),
6492
	.datad(Equal2),
6493
	.aclr(gnd),
6494
	.aload(gnd),
6495
	.clk(clk),
6496
	.cin(gnd),
6497
	.cascin(vcc),
6498
	.devclrn(devclrn),
6499
	.devpor(devpor),
6500
	.combout(),
6501
	.regout(curr_cycle[0]),
6502
	.cout(),
6503
	.cascout());
6504
// synopsys translate_off
6505
defparam \curr_cycle[0]~I .clock_enable_mode = "true";
6506
defparam \curr_cycle[0]~I .lut_mask = "f030";
6507
defparam \curr_cycle[0]~I .operation_mode = "normal";
6508
defparam \curr_cycle[0]~I .output_mode = "reg_only";
6509
defparam \curr_cycle[0]~I .packed_mode = "false";
6510
// synopsys translate_on
6511
 
6512
// atom is at LC3_I1
6513
flex10ke_lcell \curr_cycle[1]~I (
6514
// Equation(s):
6515
// curr_cycle[1] = DFFEA(!next_cycle_1, GLOBAL(\fclk~dataout ), , , cend, , )
6516
 
6517
	.dataa(cend),
6518
	.datab(vcc),
6519
	.datac(vcc),
6520
	.datad(next_cycle_1),
6521
	.aclr(gnd),
6522
	.aload(gnd),
6523
	.clk(clk),
6524
	.cin(gnd),
6525
	.cascin(vcc),
6526
	.devclrn(devclrn),
6527
	.devpor(devpor),
6528
	.combout(),
6529
	.regout(curr_cycle[1]),
6530
	.cout(),
6531
	.cascout());
6532
// synopsys translate_off
6533
defparam \curr_cycle[1]~I .clock_enable_mode = "true";
6534
defparam \curr_cycle[1]~I .lut_mask = "00ff";
6535
defparam \curr_cycle[1]~I .operation_mode = "normal";
6536
defparam \curr_cycle[1]~I .output_mode = "reg_only";
6537
defparam \curr_cycle[1]~I .packed_mode = "false";
6538
// synopsys translate_on
6539
 
6540
// atom is at LC5_I1
6541
flex10ke_lcell \always8~3_I (
6542
// Equation(s):
6543
// \always8~3  = curr_cycle[1] & pre_cend & curr_cycle[0] & cpu_rnw
6544
 
6545
	.dataa(curr_cycle[1]),
6546
	.datab(pre_cend),
6547
	.datac(curr_cycle[0]),
6548
	.datad(cpu_rnw),
6549
	.aclr(gnd),
6550
	.aload(gnd),
6551
	.clk(gnd),
6552
	.cin(gnd),
6553
	.cascin(vcc),
6554
	.devclrn(devclrn),
6555
	.devpor(devpor),
6556
	.combout(\always8~3 ),
6557
	.regout(),
6558
	.cout(),
6559
	.cascout());
6560
// synopsys translate_off
6561
defparam \always8~3_I .clock_enable_mode = "false";
6562
defparam \always8~3_I .lut_mask = "8000";
6563
defparam \always8~3_I .operation_mode = "normal";
6564
defparam \always8~3_I .output_mode = "comb_only";
6565
defparam \always8~3_I .packed_mode = "false";
6566
// synopsys translate_on
6567
 
6568
// atom is at LC4_I19
6569
flex10ke_lcell \dram_addr[7]~2028_I (
6570
// Equation(s):
6571
// \dram_addr[7]~2042  = !peff7_int_0 & !peff7_int_5 & dcnt_0 # !q_01
6572
 
6573
	.dataa(q_0),
6574
	.datab(peff7_int_0),
6575
	.datac(peff7_int_5),
6576
	.datad(dcnt_0),
6577
	.aclr(gnd),
6578
	.aload(gnd),
6579
	.clk(gnd),
6580
	.cin(gnd),
6581
	.cascin(vcc),
6582
	.devclrn(devclrn),
6583
	.devpor(devpor),
6584
	.combout(\dram_addr[7]~2028 ),
6585
	.regout(),
6586
	.cout(),
6587
	.cascout(\dram_addr[7]~2042 ));
6588
// synopsys translate_off
6589
defparam \dram_addr[7]~2028_I .clock_enable_mode = "false";
6590
defparam \dram_addr[7]~2028_I .lut_mask = "5755";
6591
defparam \dram_addr[7]~2028_I .operation_mode = "normal";
6592
defparam \dram_addr[7]~2028_I .output_mode = "none";
6593
defparam \dram_addr[7]~2028_I .packed_mode = "false";
6594
// synopsys translate_on
6595
 
6596
// atom is at LC2_I15
6597
flex10ke_lcell \dram_addr[8]~2030_I (
6598
// Equation(s):
6599
// \dram_addr[8]~2045  = !peff7_int_0 & !peff7_int_5 & dcnt_0 # !q_11
6600
 
6601
	.dataa(q_1),
6602
	.datab(peff7_int_0),
6603
	.datac(peff7_int_5),
6604
	.datad(dcnt_0),
6605
	.aclr(gnd),
6606
	.aload(gnd),
6607
	.clk(gnd),
6608
	.cin(gnd),
6609
	.cascin(vcc),
6610
	.devclrn(devclrn),
6611
	.devpor(devpor),
6612
	.combout(\dram_addr[8]~2030 ),
6613
	.regout(),
6614
	.cout(),
6615
	.cascout(\dram_addr[8]~2045 ));
6616
// synopsys translate_off
6617
defparam \dram_addr[8]~2030_I .clock_enable_mode = "false";
6618
defparam \dram_addr[8]~2030_I .lut_mask = "5755";
6619
defparam \dram_addr[8]~2030_I .operation_mode = "normal";
6620
defparam \dram_addr[8]~2030_I .output_mode = "none";
6621
defparam \dram_addr[8]~2030_I .packed_mode = "false";
6622
// synopsys translate_on
6623
 
6624
endmodule
6625
 
6626
module atm_pager (
6627
	romnram,
6628
	page_0,
6629
	page_1,
6630
	page_2,
6631
	page_3,
6632
	page_4,
6633
	dos,
6634
	zneg,
6635
	zpos,
6636
	p7ffd_int_4,
6637
	atm_pen,
6638
	peff7_int_3,
6639
	p7ffd_int_0,
6640
	p7ffd_int_1,
6641
	p7ffd_int_2,
6642
	p7ffd_int_5,
6643
	peff7_int_2,
6644
	p7ffd_int_6,
6645
	stall_count_2,
6646
	atmF7_wr_fclk,
6647
	dos_7ffd_0,
6648
	dos_turn_off,
6649
	dos_exec_stb,
6650
	dos_exec_stb1,
6651
	dos_turn_off1,
6652
	page_5,
6653
	page_6,
6654
	page_7,
6655
	p7ffd_int_7,
6656
	a_14,
6657
	a_15,
6658
	mreq_n,
6659
	fclk,
6660
	m1_n,
6661
	a_8,
6662
	a_11,
6663
	a_12,
6664
	a_13,
6665
	a_10,
6666
	a_9,
6667
	d_0,
6668
	d_1,
6669
	d_2,
6670
	d_3,
6671
	d_4,
6672
	d_5,
6673
	d_6,
6674
	d_7,
6675
	devpor,
6676
	devclrn,
6677
	devoe);
6678
output 	romnram;
6679
output 	page_0;
6680
output 	page_1;
6681
output 	page_2;
6682
output 	page_3;
6683
output 	page_4;
6684
input 	dos;
6685
input 	zneg;
6686
input 	zpos;
6687
input 	p7ffd_int_4;
6688
input 	atm_pen;
6689
input 	peff7_int_3;
6690
input 	p7ffd_int_0;
6691
input 	p7ffd_int_1;
6692
input 	p7ffd_int_2;
6693
input 	p7ffd_int_5;
6694
input 	peff7_int_2;
6695
input 	p7ffd_int_6;
6696
output 	stall_count_2;
6697
input 	atmF7_wr_fclk;
6698
output 	dos_7ffd_0;
6699
output 	dos_turn_off;
6700
output 	dos_exec_stb;
6701
output 	dos_exec_stb1;
6702
output 	dos_turn_off1;
6703
output 	page_5;
6704
output 	page_6;
6705
output 	page_7;
6706
input 	p7ffd_int_7;
6707
input 	a_14;
6708
input 	a_15;
6709
input 	mreq_n;
6710
input 	fclk;
6711
input 	m1_n;
6712
input 	a_8;
6713
input 	a_11;
6714
input 	a_12;
6715
input 	a_13;
6716
input 	a_10;
6717
input 	a_9;
6718
input 	d_0;
6719
input 	d_1;
6720
input 	d_2;
6721
input 	d_3;
6722
input 	d_4;
6723
input 	d_5;
6724
input 	d_6;
6725
input 	d_7;
6726
input 	devpor;
6727
input 	devclrn;
6728
input 	devoe;
6729
 
6730
wire gnd = 1'b0;
6731
wire vcc = 1'b1;
6732
 
6733
wire \ramnrom[1]~68 ;
6734
wire \ramnrom[0]~69 ;
6735
wire \dos_7ffd[1]~82 ;
6736
wire \dos_7ffd[0]~83 ;
6737
wire \always0~60 ;
6738
wire \always0~61 ;
6739
wire \page~3055 ;
6740
wire \pages[0][0] ;
6741
wire \pages[1][0] ;
6742
wire \page~3054 ;
6743
wire \pages[0][1] ;
6744
wire \pages[1][1] ;
6745
wire \page~3057 ;
6746
wire \page~3058 ;
6747
wire \pages[0][2] ;
6748
wire \pages[1][2] ;
6749
wire \page~3060 ;
6750
wire \page~3061 ;
6751
wire \page~3064 ;
6752
wire \pages[0][3] ;
6753
wire \pages[1][3] ;
6754
wire \page~3063 ;
6755
wire \page~3065 ;
6756
wire \pages[0][4] ;
6757
wire \pages[1][4] ;
6758
wire \page~3067 ;
6759
wire \page~3068 ;
6760
wire \stall_count[1]~39 ;
6761
wire m1_n_reg;
6762
wire mreq_n_reg;
6763
wire \dos_exec_stb~120 ;
6764
wire \dos_exec_stb~121 ;
6765
wire \ramnrom[0]~70 ;
6766
wire \pages[0][5] ;
6767
wire \pages[1][5] ;
6768
wire \page~3070 ;
6769
wire \page~3071 ;
6770
wire \pages[0][6] ;
6771
wire \pages[1][6] ;
6772
wire \page~3073 ;
6773
wire \pages[0][7] ;
6774
wire \pages[1][7] ;
6775
wire \page~3075 ;
6776
wire [1:0] dos_7ffd;
6777
wire [1:0] ramnrom;
6778
wire [2:0] stall_count;
6779
 
6780
 
6781
// atom is at LC1_C21
6782
flex10ke_lcell \ramnrom[1]~68_I (
6783
// Equation(s):
6784
// \ramnrom[1]~68  = !\a~dataout [14] & !\a~dataout [15] & atmF7_wr_fclk & p7ffd_int_4
6785
 
6786
	.dataa(a_14),
6787
	.datab(a_15),
6788
	.datac(atmF7_wr_fclk),
6789
	.datad(p7ffd_int_4),
6790
	.aclr(gnd),
6791
	.aload(gnd),
6792
	.clk(gnd),
6793
	.cin(gnd),
6794
	.cascin(vcc),
6795
	.devclrn(devclrn),
6796
	.devpor(devpor),
6797
	.combout(\ramnrom[1]~68 ),
6798
	.regout(),
6799
	.cout(),
6800
	.cascout());
6801
// synopsys translate_off
6802
defparam \ramnrom[1]~68_I .clock_enable_mode = "false";
6803
defparam \ramnrom[1]~68_I .lut_mask = "1000";
6804
defparam \ramnrom[1]~68_I .operation_mode = "normal";
6805
defparam \ramnrom[1]~68_I .output_mode = "comb_only";
6806
defparam \ramnrom[1]~68_I .packed_mode = "false";
6807
// synopsys translate_on
6808
 
6809
// atom is at LC4_C21
6810
flex10ke_lcell \ramnrom[0]~69_I (
6811
// Equation(s):
6812
// \ramnrom[0]~69  = !\a~dataout [15] & !\a~dataout [14] & !p7ffd_int_4 & atmF7_wr_fclk
6813
 
6814
	.dataa(a_15),
6815
	.datab(a_14),
6816
	.datac(p7ffd_int_4),
6817
	.datad(atmF7_wr_fclk),
6818
	.aclr(gnd),
6819
	.aload(gnd),
6820
	.clk(gnd),
6821
	.cin(gnd),
6822
	.cascin(vcc),
6823
	.devclrn(devclrn),
6824
	.devpor(devpor),
6825
	.combout(\ramnrom[0]~69 ),
6826
	.regout(),
6827
	.cout(),
6828
	.cascout());
6829
// synopsys translate_off
6830
defparam \ramnrom[0]~69_I .clock_enable_mode = "false";
6831
defparam \ramnrom[0]~69_I .lut_mask = "0100";
6832
defparam \ramnrom[0]~69_I .operation_mode = "normal";
6833
defparam \ramnrom[0]~69_I .output_mode = "comb_only";
6834
defparam \ramnrom[0]~69_I .packed_mode = "false";
6835
// synopsys translate_on
6836
 
6837
// atom is at LC6_A11
6838
flex10ke_lcell \dos_7ffd[1]~82_I (
6839
// Equation(s):
6840
// \dos_7ffd[1]~82  = !\a~dataout [14] & !\a~dataout [15] & dos_7ffd_0 & p7ffd_int_4
6841
 
6842
	.dataa(a_14),
6843
	.datab(a_15),
6844
	.datac(dos_7ffd_0),
6845
	.datad(p7ffd_int_4),
6846
	.aclr(gnd),
6847
	.aload(gnd),
6848
	.clk(gnd),
6849
	.cin(gnd),
6850
	.cascin(vcc),
6851
	.devclrn(devclrn),
6852
	.devpor(devpor),
6853
	.combout(\dos_7ffd[1]~82 ),
6854
	.regout(),
6855
	.cout(),
6856
	.cascout());
6857
// synopsys translate_off
6858
defparam \dos_7ffd[1]~82_I .clock_enable_mode = "false";
6859
defparam \dos_7ffd[1]~82_I .lut_mask = "1000";
6860
defparam \dos_7ffd[1]~82_I .operation_mode = "normal";
6861
defparam \dos_7ffd[1]~82_I .output_mode = "comb_only";
6862
defparam \dos_7ffd[1]~82_I .packed_mode = "false";
6863
// synopsys translate_on
6864
 
6865
// atom is at LC7_A11
6866
flex10ke_lcell \dos_7ffd[0]~83_I (
6867
// Equation(s):
6868
// \dos_7ffd[0]~83  = !\a~dataout [15] & !\a~dataout [14] & !p7ffd_int_4 & dos_7ffd_0
6869
 
6870
	.dataa(a_15),
6871
	.datab(a_14),
6872
	.datac(p7ffd_int_4),
6873
	.datad(dos_7ffd_0),
6874
	.aclr(gnd),
6875
	.aload(gnd),
6876
	.clk(gnd),
6877
	.cin(gnd),
6878
	.cascin(vcc),
6879
	.devclrn(devclrn),
6880
	.devpor(devpor),
6881
	.combout(\dos_7ffd[0]~83 ),
6882
	.regout(),
6883
	.cout(),
6884
	.cascout());
6885
// synopsys translate_off
6886
defparam \dos_7ffd[0]~83_I .clock_enable_mode = "false";
6887
defparam \dos_7ffd[0]~83_I .lut_mask = "0100";
6888
defparam \dos_7ffd[0]~83_I .operation_mode = "normal";
6889
defparam \dos_7ffd[0]~83_I .output_mode = "comb_only";
6890
defparam \dos_7ffd[0]~83_I .packed_mode = "false";
6891
// synopsys translate_on
6892
 
6893
// atom is at LC2_A8
6894
flex10ke_lcell \romnram~I (
6895
// Equation(s):
6896
// romnram = DFFEA(!\always0~60  & !peff7_int_3 # !atm_pen, GLOBAL(\fclk~dataout ), , , , , )
6897
 
6898
	.dataa(vcc),
6899
	.datab(atm_pen),
6900
	.datac(\always0~60 ),
6901
	.datad(peff7_int_3),
6902
	.aclr(gnd),
6903
	.aload(gnd),
6904
	.clk(fclk),
6905
	.cin(gnd),
6906
	.cascin(vcc),
6907
	.devclrn(devclrn),
6908
	.devpor(devpor),
6909
	.combout(),
6910
	.regout(romnram),
6911
	.cout(),
6912
	.cascout());
6913
// synopsys translate_off
6914
defparam \romnram~I .clock_enable_mode = "false";
6915
defparam \romnram~I .lut_mask = "333f";
6916
defparam \romnram~I .operation_mode = "normal";
6917
defparam \romnram~I .output_mode = "reg_only";
6918
defparam \romnram~I .packed_mode = "false";
6919
// synopsys translate_on
6920
 
6921
// atom is at LC1_A12
6922
flex10ke_lcell \page[0]~I (
6923
// Equation(s):
6924
// page_0 = DFFEA(!peff7_int_3 & (\page~3055  # \page~3054 ) # !atm_pen, GLOBAL(\fclk~dataout ), , , , , )
6925
 
6926
	.dataa(atm_pen),
6927
	.datab(peff7_int_3),
6928
	.datac(\page~3055 ),
6929
	.datad(\page~3054 ),
6930
	.aclr(gnd),
6931
	.aload(gnd),
6932
	.clk(fclk),
6933
	.cin(gnd),
6934
	.cascin(vcc),
6935
	.devclrn(devclrn),
6936
	.devpor(devpor),
6937
	.combout(),
6938
	.regout(page_0),
6939
	.cout(),
6940
	.cascout());
6941
// synopsys translate_off
6942
defparam \page[0]~I .clock_enable_mode = "false";
6943
defparam \page[0]~I .lut_mask = "7775";
6944
defparam \page[0]~I .operation_mode = "normal";
6945
defparam \page[0]~I .output_mode = "reg_only";
6946
defparam \page[0]~I .packed_mode = "false";
6947
// synopsys translate_on
6948
 
6949
// atom is at LC7_A12
6950
flex10ke_lcell \page[1]~I (
6951
// Equation(s):
6952
// page_1 = DFFEA(!peff7_int_3 & \page~3058  # !atm_pen, GLOBAL(\fclk~dataout ), , , , , )
6953
 
6954
	.dataa(vcc),
6955
	.datab(atm_pen),
6956
	.datac(peff7_int_3),
6957
	.datad(\page~3058 ),
6958
	.aclr(gnd),
6959
	.aload(gnd),
6960
	.clk(fclk),
6961
	.cin(gnd),
6962
	.cascin(vcc),
6963
	.devclrn(devclrn),
6964
	.devpor(devpor),
6965
	.combout(),
6966
	.regout(page_1),
6967
	.cout(),
6968
	.cascout());
6969
// synopsys translate_off
6970
defparam \page[1]~I .clock_enable_mode = "false";
6971
defparam \page[1]~I .lut_mask = "3f33";
6972
defparam \page[1]~I .operation_mode = "normal";
6973
defparam \page[1]~I .output_mode = "reg_only";
6974
defparam \page[1]~I .packed_mode = "false";
6975
// synopsys translate_on
6976
 
6977
// atom is at LC3_A12
6978
flex10ke_lcell \page[2]~I (
6979
// Equation(s):
6980
// page_2 = DFFEA(!peff7_int_3 & \page~3061  # !atm_pen, GLOBAL(\fclk~dataout ), , , , , )
6981
 
6982
	.dataa(vcc),
6983
	.datab(atm_pen),
6984
	.datac(peff7_int_3),
6985
	.datad(\page~3061 ),
6986
	.aclr(gnd),
6987
	.aload(gnd),
6988
	.clk(fclk),
6989
	.cin(gnd),
6990
	.cascin(vcc),
6991
	.devclrn(devclrn),
6992
	.devpor(devpor),
6993
	.combout(),
6994
	.regout(page_2),
6995
	.cout(),
6996
	.cascout());
6997
// synopsys translate_off
6998
defparam \page[2]~I .clock_enable_mode = "false";
6999
defparam \page[2]~I .lut_mask = "3f33";
7000
defparam \page[2]~I .operation_mode = "normal";
7001
defparam \page[2]~I .output_mode = "reg_only";
7002
defparam \page[2]~I .packed_mode = "false";
7003
// synopsys translate_on
7004
 
7005
// atom is at LC2_A21
7006
flex10ke_lcell \page[3]~I (
7007
// Equation(s):
7008
// page_3 = DFFEA(\page~3065  # !atm_pen, GLOBAL(\fclk~dataout ), , , , , )
7009
 
7010
	.dataa(vcc),
7011
	.datab(vcc),
7012
	.datac(atm_pen),
7013
	.datad(\page~3065 ),
7014
	.aclr(gnd),
7015
	.aload(gnd),
7016
	.clk(fclk),
7017
	.cin(gnd),
7018
	.cascin(vcc),
7019
	.devclrn(devclrn),
7020
	.devpor(devpor),
7021
	.combout(),
7022
	.regout(page_3),
7023
	.cout(),
7024
	.cascout());
7025
// synopsys translate_off
7026
defparam \page[3]~I .clock_enable_mode = "false";
7027
defparam \page[3]~I .lut_mask = "ff0f";
7028
defparam \page[3]~I .operation_mode = "normal";
7029
defparam \page[3]~I .output_mode = "reg_only";
7030
defparam \page[3]~I .packed_mode = "false";
7031
// synopsys translate_on
7032
 
7033
// atom is at LC3_A21
7034
flex10ke_lcell \page[4]~I (
7035
// Equation(s):
7036
// page_4 = DFFEA(\page~3068  # !atm_pen, GLOBAL(\fclk~dataout ), , , , , )
7037
 
7038
	.dataa(vcc),
7039
	.datab(vcc),
7040
	.datac(atm_pen),
7041
	.datad(\page~3068 ),
7042
	.aclr(gnd),
7043
	.aload(gnd),
7044
	.clk(fclk),
7045
	.cin(gnd),
7046
	.cascin(vcc),
7047
	.devclrn(devclrn),
7048
	.devpor(devpor),
7049
	.combout(),
7050
	.regout(page_4),
7051
	.cout(),
7052
	.cascout());
7053
// synopsys translate_off
7054
defparam \page[4]~I .clock_enable_mode = "false";
7055
defparam \page[4]~I .lut_mask = "ff0f";
7056
defparam \page[4]~I .operation_mode = "normal";
7057
defparam \page[4]~I .output_mode = "reg_only";
7058
defparam \page[4]~I .packed_mode = "false";
7059
// synopsys translate_on
7060
 
7061
// atom is at LC1_A5
7062
flex10ke_lcell \stall_count[2]~I (
7063
// Equation(s):
7064
// stall_count_2 = DFFEA(dos_exec_stb1 # stall_count_2 & (!stall_count[1] # !stall_count[0]), GLOBAL(\fclk~dataout ), , , , , )
7065
 
7066
	.dataa(stall_count[0]),
7067
	.datab(stall_count[1]),
7068
	.datac(stall_count_2),
7069
	.datad(dos_exec_stb1),
7070
	.aclr(gnd),
7071
	.aload(gnd),
7072
	.clk(fclk),
7073
	.cin(gnd),
7074
	.cascin(vcc),
7075
	.devclrn(devclrn),
7076
	.devpor(devpor),
7077
	.combout(),
7078
	.regout(stall_count_2),
7079
	.cout(),
7080
	.cascout());
7081
// synopsys translate_off
7082
defparam \stall_count[2]~I .clock_enable_mode = "false";
7083
defparam \stall_count[2]~I .lut_mask = "ff70";
7084
defparam \stall_count[2]~I .operation_mode = "normal";
7085
defparam \stall_count[2]~I .output_mode = "reg_only";
7086
defparam \stall_count[2]~I .packed_mode = "false";
7087
// synopsys translate_on
7088
 
7089
// atom is at LC2_A11
7090
flex10ke_lcell \dos_7ffd[0]~81_I (
7091
// Equation(s):
7092
// dos_7ffd_0 = atmF7_wr_fclk & \a~dataout [11]
7093
 
7094
	.dataa(vcc),
7095
	.datab(vcc),
7096
	.datac(atmF7_wr_fclk),
7097
	.datad(a_11),
7098
	.aclr(gnd),
7099
	.aload(gnd),
7100
	.clk(gnd),
7101
	.cin(gnd),
7102
	.cascin(vcc),
7103
	.devclrn(devclrn),
7104
	.devpor(devpor),
7105
	.combout(dos_7ffd_0),
7106
	.regout(),
7107
	.cout(),
7108
	.cascout());
7109
// synopsys translate_off
7110
defparam \dos_7ffd[0]~81_I .clock_enable_mode = "false";
7111
defparam \dos_7ffd[0]~81_I .lut_mask = "f000";
7112
defparam \dos_7ffd[0]~81_I .operation_mode = "normal";
7113
defparam \dos_7ffd[0]~81_I .output_mode = "comb_only";
7114
defparam \dos_7ffd[0]~81_I .packed_mode = "false";
7115
// synopsys translate_on
7116
 
7117
// atom is at LC2_D7
7118
flex10ke_lcell \dos_turn_off~58_I (
7119
// Equation(s):
7120
// dos_turn_off = !m1_n_reg & !\mreq_n~dataout  & mreq_n_reg & zneg
7121
 
7122
	.dataa(m1_n_reg),
7123
	.datab(mreq_n),
7124
	.datac(mreq_n_reg),
7125
	.datad(zneg),
7126
	.aclr(gnd),
7127
	.aload(gnd),
7128
	.clk(gnd),
7129
	.cin(gnd),
7130
	.cascin(vcc),
7131
	.devclrn(devclrn),
7132
	.devpor(devpor),
7133
	.combout(dos_turn_off),
7134
	.regout(),
7135
	.cout(),
7136
	.cascout());
7137
// synopsys translate_off
7138
defparam \dos_turn_off~58_I .clock_enable_mode = "false";
7139
defparam \dos_turn_off~58_I .lut_mask = "1000";
7140
defparam \dos_turn_off~58_I .operation_mode = "normal";
7141
defparam \dos_turn_off~58_I .output_mode = "comb_only";
7142
defparam \dos_turn_off~58_I .packed_mode = "false";
7143
// synopsys translate_on
7144
 
7145
// atom is at LC3_A2
7146
flex10ke_lcell \dos_exec_stb~122_I (
7147
// Equation(s):
7148
// dos_exec_stb = \dos_exec_stb~121  & dos_turn_off
7149
 
7150
	.dataa(vcc),
7151
	.datab(vcc),
7152
	.datac(\dos_exec_stb~121 ),
7153
	.datad(dos_turn_off),
7154
	.aclr(gnd),
7155
	.aload(gnd),
7156
	.clk(gnd),
7157
	.cin(gnd),
7158
	.cascin(vcc),
7159
	.devclrn(devclrn),
7160
	.devpor(devpor),
7161
	.combout(dos_exec_stb),
7162
	.regout(),
7163
	.cout(),
7164
	.cascout());
7165
// synopsys translate_off
7166
defparam \dos_exec_stb~122_I .clock_enable_mode = "false";
7167
defparam \dos_exec_stb~122_I .lut_mask = "f000";
7168
defparam \dos_exec_stb~122_I .operation_mode = "normal";
7169
defparam \dos_exec_stb~122_I .output_mode = "comb_only";
7170
defparam \dos_exec_stb~122_I .packed_mode = "false";
7171
// synopsys translate_on
7172
 
7173
// atom is at LC6_A2
7174
flex10ke_lcell \dos_exec_stb~123_I (
7175
// Equation(s):
7176
// dos_exec_stb1 = !ramnrom[1] & dos_7ffd[1] & \ramnrom[0]~70  & dos_exec_stb
7177
 
7178
	.dataa(ramnrom[1]),
7179
	.datab(dos_7ffd[1]),
7180
	.datac(\ramnrom[0]~70 ),
7181
	.datad(dos_exec_stb),
7182
	.aclr(gnd),
7183
	.aload(gnd),
7184
	.clk(gnd),
7185
	.cin(gnd),
7186
	.cascin(vcc),
7187
	.devclrn(devclrn),
7188
	.devpor(devpor),
7189
	.combout(dos_exec_stb1),
7190
	.regout(),
7191
	.cout(),
7192
	.cascout());
7193
// synopsys translate_off
7194
defparam \dos_exec_stb~123_I .clock_enable_mode = "false";
7195
defparam \dos_exec_stb~123_I .lut_mask = "4000";
7196
defparam \dos_exec_stb~123_I .operation_mode = "normal";
7197
defparam \dos_exec_stb~123_I .output_mode = "comb_only";
7198
defparam \dos_exec_stb~123_I .packed_mode = "false";
7199
// synopsys translate_on
7200
 
7201
// atom is at LC3_A3
7202
flex10ke_lcell \dos_turn_off~59_I (
7203
// Equation(s):
7204
// dos_turn_off1 = \ramnrom[0]~70  & (p7ffd_int_4 & (ramnrom[1]) # !p7ffd_int_4 & ramnrom[0])
7205
 
7206
	.dataa(p7ffd_int_4),
7207
	.datab(ramnrom[0]),
7208
	.datac(ramnrom[1]),
7209
	.datad(\ramnrom[0]~70 ),
7210
	.aclr(gnd),
7211
	.aload(gnd),
7212
	.clk(gnd),
7213
	.cin(gnd),
7214
	.cascin(vcc),
7215
	.devclrn(devclrn),
7216
	.devpor(devpor),
7217
	.combout(dos_turn_off1),
7218
	.regout(),
7219
	.cout(),
7220
	.cascout());
7221
// synopsys translate_off
7222
defparam \dos_turn_off~59_I .clock_enable_mode = "false";
7223
defparam \dos_turn_off~59_I .lut_mask = "e400";
7224
defparam \dos_turn_off~59_I .operation_mode = "normal";
7225
defparam \dos_turn_off~59_I .output_mode = "comb_only";
7226
defparam \dos_turn_off~59_I .packed_mode = "false";
7227
// synopsys translate_on
7228
 
7229
// atom is at LC5_A21
7230
flex10ke_lcell \page[5]~I (
7231
// Equation(s):
7232
// page_5 = DFFEA(\page~3071  # !atm_pen, GLOBAL(\fclk~dataout ), , , , , )
7233
 
7234
	.dataa(vcc),
7235
	.datab(vcc),
7236
	.datac(atm_pen),
7237
	.datad(\page~3071 ),
7238
	.aclr(gnd),
7239
	.aload(gnd),
7240
	.clk(fclk),
7241
	.cin(gnd),
7242
	.cascin(vcc),
7243
	.devclrn(devclrn),
7244
	.devpor(devpor),
7245
	.combout(),
7246
	.regout(page_5),
7247
	.cout(),
7248
	.cascout());
7249
// synopsys translate_off
7250
defparam \page[5]~I .clock_enable_mode = "false";
7251
defparam \page[5]~I .lut_mask = "ff0f";
7252
defparam \page[5]~I .operation_mode = "normal";
7253
defparam \page[5]~I .output_mode = "reg_only";
7254
defparam \page[5]~I .packed_mode = "false";
7255
// synopsys translate_on
7256
 
7257
// atom is at LC8_D19
7258
flex10ke_lcell \page[6]~I (
7259
// Equation(s):
7260
// page_6 = DFFEA(\page~3073  # !atm_pen, GLOBAL(\fclk~dataout ), , , , , )
7261
 
7262
	.dataa(vcc),
7263
	.datab(vcc),
7264
	.datac(atm_pen),
7265
	.datad(\page~3073 ),
7266
	.aclr(gnd),
7267
	.aload(gnd),
7268
	.clk(fclk),
7269
	.cin(gnd),
7270
	.cascin(vcc),
7271
	.devclrn(devclrn),
7272
	.devpor(devpor),
7273
	.combout(),
7274
	.regout(page_6),
7275
	.cout(),
7276
	.cascout());
7277
// synopsys translate_off
7278
defparam \page[6]~I .clock_enable_mode = "false";
7279
defparam \page[6]~I .lut_mask = "ff0f";
7280
defparam \page[6]~I .operation_mode = "normal";
7281
defparam \page[6]~I .output_mode = "reg_only";
7282
defparam \page[6]~I .packed_mode = "false";
7283
// synopsys translate_on
7284
 
7285
// atom is at LC4_D19
7286
flex10ke_lcell \page[7]~I (
7287
// Equation(s):
7288
// page_7 = DFFEA(\page~3075  # !atm_pen, GLOBAL(\fclk~dataout ), , , , , )
7289
 
7290
	.dataa(vcc),
7291
	.datab(vcc),
7292
	.datac(atm_pen),
7293
	.datad(\page~3075 ),
7294
	.aclr(gnd),
7295
	.aload(gnd),
7296
	.clk(fclk),
7297
	.cin(gnd),
7298
	.cascin(vcc),
7299
	.devclrn(devclrn),
7300
	.devpor(devpor),
7301
	.combout(),
7302
	.regout(page_7),
7303
	.cout(),
7304
	.cascout());
7305
// synopsys translate_off
7306
defparam \page[7]~I .clock_enable_mode = "false";
7307
defparam \page[7]~I .lut_mask = "ff0f";
7308
defparam \page[7]~I .operation_mode = "normal";
7309
defparam \page[7]~I .output_mode = "reg_only";
7310
defparam \page[7]~I .packed_mode = "false";
7311
// synopsys translate_on
7312
 
7313
// atom is at LC2_A20
7314
flex10ke_lcell \ramnrom[0]~I (
7315
// Equation(s):
7316
// ramnrom[0] = DFFEA(\d[6]~1  # !\a~dataout [11], GLOBAL(\fclk~dataout ), , , \ramnrom[0]~69 , , )
7317
 
7318
	.dataa(\ramnrom[0]~69 ),
7319
	.datab(vcc),
7320
	.datac(a_11),
7321
	.datad(d_6),
7322
	.aclr(gnd),
7323
	.aload(gnd),
7324
	.clk(fclk),
7325
	.cin(gnd),
7326
	.cascin(vcc),
7327
	.devclrn(devclrn),
7328
	.devpor(devpor),
7329
	.combout(),
7330
	.regout(ramnrom[0]),
7331
	.cout(),
7332
	.cascout());
7333
// synopsys translate_off
7334
defparam \ramnrom[0]~I .clock_enable_mode = "true";
7335
defparam \ramnrom[0]~I .lut_mask = "ff0f";
7336
defparam \ramnrom[0]~I .operation_mode = "normal";
7337
defparam \ramnrom[0]~I .output_mode = "reg_only";
7338
defparam \ramnrom[0]~I .packed_mode = "false";
7339
// synopsys translate_on
7340
 
7341
// atom is at LC7_A20
7342
flex10ke_lcell \ramnrom[1]~I (
7343
// Equation(s):
7344
// ramnrom[1] = DFFEA(\d[6]~1  # !\a~dataout [11], GLOBAL(\fclk~dataout ), , , \ramnrom[1]~68 , , )
7345
 
7346
	.dataa(\ramnrom[1]~68 ),
7347
	.datab(vcc),
7348
	.datac(a_11),
7349
	.datad(d_6),
7350
	.aclr(gnd),
7351
	.aload(gnd),
7352
	.clk(fclk),
7353
	.cin(gnd),
7354
	.cascin(vcc),
7355
	.devclrn(devclrn),
7356
	.devpor(devpor),
7357
	.combout(),
7358
	.regout(ramnrom[1]),
7359
	.cout(),
7360
	.cascout());
7361
// synopsys translate_off
7362
defparam \ramnrom[1]~I .clock_enable_mode = "true";
7363
defparam \ramnrom[1]~I .lut_mask = "ff0f";
7364
defparam \ramnrom[1]~I .operation_mode = "normal";
7365
defparam \ramnrom[1]~I .output_mode = "reg_only";
7366
defparam \ramnrom[1]~I .packed_mode = "false";
7367
// synopsys translate_on
7368
 
7369
// atom is at LC8_A20
7370
flex10ke_lcell \always0~60_I (
7371
// Equation(s):
7372
// \always0~60  = p7ffd_int_4 & (ramnrom[1]) # !p7ffd_int_4 & ramnrom[0]
7373
 
7374
	.dataa(vcc),
7375
	.datab(p7ffd_int_4),
7376
	.datac(ramnrom[0]),
7377
	.datad(ramnrom[1]),
7378
	.aclr(gnd),
7379
	.aload(gnd),
7380
	.clk(gnd),
7381
	.cin(gnd),
7382
	.cascin(vcc),
7383
	.devclrn(devclrn),
7384
	.devpor(devpor),
7385
	.combout(\always0~60 ),
7386
	.regout(),
7387
	.cout(),
7388
	.cascout());
7389
// synopsys translate_off
7390
defparam \always0~60_I .clock_enable_mode = "false";
7391
defparam \always0~60_I .lut_mask = "fc30";
7392
defparam \always0~60_I .operation_mode = "normal";
7393
defparam \always0~60_I .output_mode = "comb_only";
7394
defparam \always0~60_I .packed_mode = "false";
7395
// synopsys translate_on
7396
 
7397
// atom is at LC5_A11
7398
flex10ke_lcell \dos_7ffd[0]~I (
7399
// Equation(s):
7400
// dos_7ffd[0] = DFFEA(\d[7]~0 , GLOBAL(\fclk~dataout ), , , \dos_7ffd[0]~83 , , )
7401
 
7402
	.dataa(\dos_7ffd[0]~83 ),
7403
	.datab(vcc),
7404
	.datac(vcc),
7405
	.datad(d_7),
7406
	.aclr(gnd),
7407
	.aload(gnd),
7408
	.clk(fclk),
7409
	.cin(gnd),
7410
	.cascin(vcc),
7411
	.devclrn(devclrn),
7412
	.devpor(devpor),
7413
	.combout(),
7414
	.regout(dos_7ffd[0]),
7415
	.cout(),
7416
	.cascout());
7417
// synopsys translate_off
7418
defparam \dos_7ffd[0]~I .clock_enable_mode = "true";
7419
defparam \dos_7ffd[0]~I .lut_mask = "ff00";
7420
defparam \dos_7ffd[0]~I .operation_mode = "normal";
7421
defparam \dos_7ffd[0]~I .output_mode = "reg_only";
7422
defparam \dos_7ffd[0]~I .packed_mode = "false";
7423
// synopsys translate_on
7424
 
7425
// atom is at LC4_A11
7426
flex10ke_lcell \dos_7ffd[1]~I (
7427
// Equation(s):
7428
// dos_7ffd[1] = DFFEA(\d[7]~0 , GLOBAL(\fclk~dataout ), , , \dos_7ffd[1]~82 , , )
7429
 
7430
	.dataa(\dos_7ffd[1]~82 ),
7431
	.datab(vcc),
7432
	.datac(vcc),
7433
	.datad(d_7),
7434
	.aclr(gnd),
7435
	.aload(gnd),
7436
	.clk(fclk),
7437
	.cin(gnd),
7438
	.cascin(vcc),
7439
	.devclrn(devclrn),
7440
	.devpor(devpor),
7441
	.combout(),
7442
	.regout(dos_7ffd[1]),
7443
	.cout(),
7444
	.cascout());
7445
// synopsys translate_off
7446
defparam \dos_7ffd[1]~I .clock_enable_mode = "true";
7447
defparam \dos_7ffd[1]~I .lut_mask = "ff00";
7448
defparam \dos_7ffd[1]~I .operation_mode = "normal";
7449
defparam \dos_7ffd[1]~I .output_mode = "reg_only";
7450
defparam \dos_7ffd[1]~I .packed_mode = "false";
7451
// synopsys translate_on
7452
 
7453
// atom is at LC1_A11
7454
flex10ke_lcell \always0~61_I (
7455
// Equation(s):
7456
// \always0~61  = p7ffd_int_4 & (dos_7ffd[1]) # !p7ffd_int_4 & dos_7ffd[0]
7457
 
7458
	.dataa(vcc),
7459
	.datab(p7ffd_int_4),
7460
	.datac(dos_7ffd[0]),
7461
	.datad(dos_7ffd[1]),
7462
	.aclr(gnd),
7463
	.aload(gnd),
7464
	.clk(gnd),
7465
	.cin(gnd),
7466
	.cascin(vcc),
7467
	.devclrn(devclrn),
7468
	.devpor(devpor),
7469
	.combout(\always0~61 ),
7470
	.regout(),
7471
	.cout(),
7472
	.cascout());
7473
// synopsys translate_off
7474
defparam \always0~61_I .clock_enable_mode = "false";
7475
defparam \always0~61_I .lut_mask = "fc30";
7476
defparam \always0~61_I .operation_mode = "normal";
7477
defparam \always0~61_I .output_mode = "comb_only";
7478
defparam \always0~61_I .packed_mode = "false";
7479
// synopsys translate_on
7480
 
7481
// atom is at LC2_A12
7482
flex10ke_lcell \page~3055_I (
7483
// Equation(s):
7484
// \page~3055  = \always0~61  & (\always0~60  & (p7ffd_int_0) # !\always0~60  & !dos)
7485
 
7486
	.dataa(dos),
7487
	.datab(\always0~60 ),
7488
	.datac(p7ffd_int_0),
7489
	.datad(\always0~61 ),
7490
	.aclr(gnd),
7491
	.aload(gnd),
7492
	.clk(gnd),
7493
	.cin(gnd),
7494
	.cascin(vcc),
7495
	.devclrn(devclrn),
7496
	.devpor(devpor),
7497
	.combout(\page~3055 ),
7498
	.regout(),
7499
	.cout(),
7500
	.cascout());
7501
// synopsys translate_off
7502
defparam \page~3055_I .clock_enable_mode = "false";
7503
defparam \page~3055_I .lut_mask = "d100";
7504
defparam \page~3055_I .operation_mode = "normal";
7505
defparam \page~3055_I .output_mode = "comb_only";
7506
defparam \page~3055_I .packed_mode = "false";
7507
// synopsys translate_on
7508
 
7509
// atom is at LC3_B8
7510
flex10ke_lcell \pages[0][0]~I (
7511
// Equation(s):
7512
// \pages[0][0]  = DFFEA(!\d[0]~7 , GLOBAL(\fclk~dataout ), , , \ramnrom[0]~69 , , )
7513
 
7514
	.dataa(\ramnrom[0]~69 ),
7515
	.datab(vcc),
7516
	.datac(vcc),
7517
	.datad(d_0),
7518
	.aclr(gnd),
7519
	.aload(gnd),
7520
	.clk(fclk),
7521
	.cin(gnd),
7522
	.cascin(vcc),
7523
	.devclrn(devclrn),
7524
	.devpor(devpor),
7525
	.combout(),
7526
	.regout(\pages[0][0] ),
7527
	.cout(),
7528
	.cascout());
7529
// synopsys translate_off
7530
defparam \pages[0][0]~I .clock_enable_mode = "true";
7531
defparam \pages[0][0]~I .lut_mask = "00ff";
7532
defparam \pages[0][0]~I .operation_mode = "normal";
7533
defparam \pages[0][0]~I .output_mode = "reg_only";
7534
defparam \pages[0][0]~I .packed_mode = "false";
7535
// synopsys translate_on
7536
 
7537
// atom is at LC2_B8
7538
flex10ke_lcell \pages[1][0]~I (
7539
// Equation(s):
7540
// \pages[1][0]  = DFFEA(!\d[0]~7 , GLOBAL(\fclk~dataout ), , , \ramnrom[1]~68 , , )
7541
 
7542
	.dataa(\ramnrom[1]~68 ),
7543
	.datab(vcc),
7544
	.datac(vcc),
7545
	.datad(d_0),
7546
	.aclr(gnd),
7547
	.aload(gnd),
7548
	.clk(fclk),
7549
	.cin(gnd),
7550
	.cascin(vcc),
7551
	.devclrn(devclrn),
7552
	.devpor(devpor),
7553
	.combout(),
7554
	.regout(\pages[1][0] ),
7555
	.cout(),
7556
	.cascout());
7557
// synopsys translate_off
7558
defparam \pages[1][0]~I .clock_enable_mode = "true";
7559
defparam \pages[1][0]~I .lut_mask = "00ff";
7560
defparam \pages[1][0]~I .operation_mode = "normal";
7561
defparam \pages[1][0]~I .output_mode = "reg_only";
7562
defparam \pages[1][0]~I .packed_mode = "false";
7563
// synopsys translate_on
7564
 
7565
// atom is at LC1_B8
7566
flex10ke_lcell \page~3054_I (
7567
// Equation(s):
7568
// \page~3054  = !\always0~61  & (p7ffd_int_4 & (\pages[1][0] ) # !p7ffd_int_4 & \pages[0][0] )
7569
 
7570
	.dataa(\always0~61 ),
7571
	.datab(p7ffd_int_4),
7572
	.datac(\pages[0][0] ),
7573
	.datad(\pages[1][0] ),
7574
	.aclr(gnd),
7575
	.aload(gnd),
7576
	.clk(gnd),
7577
	.cin(gnd),
7578
	.cascin(vcc),
7579
	.devclrn(devclrn),
7580
	.devpor(devpor),
7581
	.combout(\page~3054 ),
7582
	.regout(),
7583
	.cout(),
7584
	.cascout());
7585
// synopsys translate_off
7586
defparam \page~3054_I .clock_enable_mode = "false";
7587
defparam \page~3054_I .lut_mask = "5410";
7588
defparam \page~3054_I .operation_mode = "normal";
7589
defparam \page~3054_I .output_mode = "comb_only";
7590
defparam \page~3054_I .packed_mode = "false";
7591
// synopsys translate_on
7592
 
7593
// atom is at LC4_A20
7594
flex10ke_lcell \pages[0][1]~I (
7595
// Equation(s):
7596
// \pages[0][1]  = DFFEA(!\d[1]~6 , GLOBAL(\fclk~dataout ), , , \ramnrom[0]~69 , , )
7597
 
7598
	.dataa(\ramnrom[0]~69 ),
7599
	.datab(vcc),
7600
	.datac(vcc),
7601
	.datad(d_1),
7602
	.aclr(gnd),
7603
	.aload(gnd),
7604
	.clk(fclk),
7605
	.cin(gnd),
7606
	.cascin(vcc),
7607
	.devclrn(devclrn),
7608
	.devpor(devpor),
7609
	.combout(),
7610
	.regout(\pages[0][1] ),
7611
	.cout(),
7612
	.cascout());
7613
// synopsys translate_off
7614
defparam \pages[0][1]~I .clock_enable_mode = "true";
7615
defparam \pages[0][1]~I .lut_mask = "00ff";
7616
defparam \pages[0][1]~I .operation_mode = "normal";
7617
defparam \pages[0][1]~I .output_mode = "reg_only";
7618
defparam \pages[0][1]~I .packed_mode = "false";
7619
// synopsys translate_on
7620
 
7621
// atom is at LC1_A20
7622
flex10ke_lcell \pages[1][1]~I (
7623
// Equation(s):
7624
// \pages[1][1]  = DFFEA(!\d[1]~6 , GLOBAL(\fclk~dataout ), , , \ramnrom[1]~68 , , )
7625
 
7626
	.dataa(\ramnrom[1]~68 ),
7627
	.datab(vcc),
7628
	.datac(vcc),
7629
	.datad(d_1),
7630
	.aclr(gnd),
7631
	.aload(gnd),
7632
	.clk(fclk),
7633
	.cin(gnd),
7634
	.cascin(vcc),
7635
	.devclrn(devclrn),
7636
	.devpor(devpor),
7637
	.combout(),
7638
	.regout(\pages[1][1] ),
7639
	.cout(),
7640
	.cascout());
7641
// synopsys translate_off
7642
defparam \pages[1][1]~I .clock_enable_mode = "true";
7643
defparam \pages[1][1]~I .lut_mask = "00ff";
7644
defparam \pages[1][1]~I .operation_mode = "normal";
7645
defparam \pages[1][1]~I .output_mode = "reg_only";
7646
defparam \pages[1][1]~I .packed_mode = "false";
7647
// synopsys translate_on
7648
 
7649
// atom is at LC3_A20
7650
flex10ke_lcell \page~3057_I (
7651
// Equation(s):
7652
// \page~3057  = p7ffd_int_4 & (\pages[1][1] ) # !p7ffd_int_4 & \pages[0][1] 
7653
 
7654
	.dataa(vcc),
7655
	.datab(p7ffd_int_4),
7656
	.datac(\pages[0][1] ),
7657
	.datad(\pages[1][1] ),
7658
	.aclr(gnd),
7659
	.aload(gnd),
7660
	.clk(gnd),
7661
	.cin(gnd),
7662
	.cascin(vcc),
7663
	.devclrn(devclrn),
7664
	.devpor(devpor),
7665
	.combout(\page~3057 ),
7666
	.regout(),
7667
	.cout(),
7668
	.cascout());
7669
// synopsys translate_off
7670
defparam \page~3057_I .clock_enable_mode = "false";
7671
defparam \page~3057_I .lut_mask = "fc30";
7672
defparam \page~3057_I .operation_mode = "normal";
7673
defparam \page~3057_I .output_mode = "comb_only";
7674
defparam \page~3057_I .packed_mode = "false";
7675
// synopsys translate_on
7676
 
7677
// atom is at LC4_A12
7678
flex10ke_lcell \page~3058_I (
7679
// Equation(s):
7680
// \page~3058  = \always0~61  & (\always0~60  & (p7ffd_int_1) # !\always0~60  & \page~3057 ) # !\always0~61  & (\page~3057 )
7681
 
7682
	.dataa(\always0~61 ),
7683
	.datab(\always0~60 ),
7684
	.datac(\page~3057 ),
7685
	.datad(p7ffd_int_1),
7686
	.aclr(gnd),
7687
	.aload(gnd),
7688
	.clk(gnd),
7689
	.cin(gnd),
7690
	.cascin(vcc),
7691
	.devclrn(devclrn),
7692
	.devpor(devpor),
7693
	.combout(\page~3058 ),
7694
	.regout(),
7695
	.cout(),
7696
	.cascout());
7697
// synopsys translate_off
7698
defparam \page~3058_I .clock_enable_mode = "false";
7699
defparam \page~3058_I .lut_mask = "f870";
7700
defparam \page~3058_I .operation_mode = "normal";
7701
defparam \page~3058_I .output_mode = "comb_only";
7702
defparam \page~3058_I .packed_mode = "false";
7703
// synopsys translate_on
7704
 
7705
// atom is at LC4_A24
7706
flex10ke_lcell \pages[0][2]~I (
7707
// Equation(s):
7708
// \pages[0][2]  = DFFEA(!\d[2]~5 , GLOBAL(\fclk~dataout ), , , \ramnrom[0]~69 , , )
7709
 
7710
	.dataa(\ramnrom[0]~69 ),
7711
	.datab(vcc),
7712
	.datac(vcc),
7713
	.datad(d_2),
7714
	.aclr(gnd),
7715
	.aload(gnd),
7716
	.clk(fclk),
7717
	.cin(gnd),
7718
	.cascin(vcc),
7719
	.devclrn(devclrn),
7720
	.devpor(devpor),
7721
	.combout(),
7722
	.regout(\pages[0][2] ),
7723
	.cout(),
7724
	.cascout());
7725
// synopsys translate_off
7726
defparam \pages[0][2]~I .clock_enable_mode = "true";
7727
defparam \pages[0][2]~I .lut_mask = "00ff";
7728
defparam \pages[0][2]~I .operation_mode = "normal";
7729
defparam \pages[0][2]~I .output_mode = "reg_only";
7730
defparam \pages[0][2]~I .packed_mode = "false";
7731
// synopsys translate_on
7732
 
7733
// atom is at LC3_A24
7734
flex10ke_lcell \pages[1][2]~I (
7735
// Equation(s):
7736
// \pages[1][2]  = DFFEA(!\d[2]~5 , GLOBAL(\fclk~dataout ), , , \ramnrom[1]~68 , , )
7737
 
7738
	.dataa(\ramnrom[1]~68 ),
7739
	.datab(vcc),
7740
	.datac(vcc),
7741
	.datad(d_2),
7742
	.aclr(gnd),
7743
	.aload(gnd),
7744
	.clk(fclk),
7745
	.cin(gnd),
7746
	.cascin(vcc),
7747
	.devclrn(devclrn),
7748
	.devpor(devpor),
7749
	.combout(),
7750
	.regout(\pages[1][2] ),
7751
	.cout(),
7752
	.cascout());
7753
// synopsys translate_off
7754
defparam \pages[1][2]~I .clock_enable_mode = "true";
7755
defparam \pages[1][2]~I .lut_mask = "00ff";
7756
defparam \pages[1][2]~I .operation_mode = "normal";
7757
defparam \pages[1][2]~I .output_mode = "reg_only";
7758
defparam \pages[1][2]~I .packed_mode = "false";
7759
// synopsys translate_on
7760
 
7761
// atom is at LC1_A24
7762
flex10ke_lcell \page~3060_I (
7763
// Equation(s):
7764
// \page~3060  = p7ffd_int_4 & (\pages[1][2] ) # !p7ffd_int_4 & \pages[0][2] 
7765
 
7766
	.dataa(vcc),
7767
	.datab(p7ffd_int_4),
7768
	.datac(\pages[0][2] ),
7769
	.datad(\pages[1][2] ),
7770
	.aclr(gnd),
7771
	.aload(gnd),
7772
	.clk(gnd),
7773
	.cin(gnd),
7774
	.cascin(vcc),
7775
	.devclrn(devclrn),
7776
	.devpor(devpor),
7777
	.combout(\page~3060 ),
7778
	.regout(),
7779
	.cout(),
7780
	.cascout());
7781
// synopsys translate_off
7782
defparam \page~3060_I .clock_enable_mode = "false";
7783
defparam \page~3060_I .lut_mask = "fc30";
7784
defparam \page~3060_I .operation_mode = "normal";
7785
defparam \page~3060_I .output_mode = "comb_only";
7786
defparam \page~3060_I .packed_mode = "false";
7787
// synopsys translate_on
7788
 
7789
// atom is at LC5_A12
7790
flex10ke_lcell \page~3061_I (
7791
// Equation(s):
7792
// \page~3061  = \always0~61  & (\always0~60  & (p7ffd_int_2) # !\always0~60  & \page~3060 ) # !\always0~61  & (\page~3060 )
7793
 
7794
	.dataa(\always0~61 ),
7795
	.datab(\always0~60 ),
7796
	.datac(\page~3060 ),
7797
	.datad(p7ffd_int_2),
7798
	.aclr(gnd),
7799
	.aload(gnd),
7800
	.clk(gnd),
7801
	.cin(gnd),
7802
	.cascin(vcc),
7803
	.devclrn(devclrn),
7804
	.devpor(devpor),
7805
	.combout(\page~3061 ),
7806
	.regout(),
7807
	.cout(),
7808
	.cascout());
7809
// synopsys translate_off
7810
defparam \page~3061_I .clock_enable_mode = "false";
7811
defparam \page~3061_I .lut_mask = "f870";
7812
defparam \page~3061_I .operation_mode = "normal";
7813
defparam \page~3061_I .output_mode = "comb_only";
7814
defparam \page~3061_I .packed_mode = "false";
7815
// synopsys translate_on
7816
 
7817
// atom is at LC1_A21
7818
flex10ke_lcell \page~3064_I (
7819
// Equation(s):
7820
// \page~3064  = !peff7_int_2 & \always0~61  & \always0~60 
7821
 
7822
	.dataa(vcc),
7823
	.datab(peff7_int_2),
7824
	.datac(\always0~61 ),
7825
	.datad(\always0~60 ),
7826
	.aclr(gnd),
7827
	.aload(gnd),
7828
	.clk(gnd),
7829
	.cin(gnd),
7830
	.cascin(vcc),
7831
	.devclrn(devclrn),
7832
	.devpor(devpor),
7833
	.combout(\page~3064 ),
7834
	.regout(),
7835
	.cout(),
7836
	.cascout());
7837
// synopsys translate_off
7838
defparam \page~3064_I .clock_enable_mode = "false";
7839
defparam \page~3064_I .lut_mask = "3000";
7840
defparam \page~3064_I .operation_mode = "normal";
7841
defparam \page~3064_I .output_mode = "comb_only";
7842
defparam \page~3064_I .packed_mode = "false";
7843
// synopsys translate_on
7844
 
7845
// atom is at LC6_A24
7846
flex10ke_lcell \pages[0][3]~I (
7847
// Equation(s):
7848
// \pages[0][3]  = DFFEA(!\d[3]~4 , GLOBAL(\fclk~dataout ), , , \ramnrom[0]~69 , , )
7849
 
7850
	.dataa(\ramnrom[0]~69 ),
7851
	.datab(vcc),
7852
	.datac(vcc),
7853
	.datad(d_3),
7854
	.aclr(gnd),
7855
	.aload(gnd),
7856
	.clk(fclk),
7857
	.cin(gnd),
7858
	.cascin(vcc),
7859
	.devclrn(devclrn),
7860
	.devpor(devpor),
7861
	.combout(),
7862
	.regout(\pages[0][3] ),
7863
	.cout(),
7864
	.cascout());
7865
// synopsys translate_off
7866
defparam \pages[0][3]~I .clock_enable_mode = "true";
7867
defparam \pages[0][3]~I .lut_mask = "00ff";
7868
defparam \pages[0][3]~I .operation_mode = "normal";
7869
defparam \pages[0][3]~I .output_mode = "reg_only";
7870
defparam \pages[0][3]~I .packed_mode = "false";
7871
// synopsys translate_on
7872
 
7873
// atom is at LC5_A24
7874
flex10ke_lcell \pages[1][3]~I (
7875
// Equation(s):
7876
// \pages[1][3]  = DFFEA(!\d[3]~4 , GLOBAL(\fclk~dataout ), , , \ramnrom[1]~68 , , )
7877
 
7878
	.dataa(\ramnrom[1]~68 ),
7879
	.datab(vcc),
7880
	.datac(vcc),
7881
	.datad(d_3),
7882
	.aclr(gnd),
7883
	.aload(gnd),
7884
	.clk(fclk),
7885
	.cin(gnd),
7886
	.cascin(vcc),
7887
	.devclrn(devclrn),
7888
	.devpor(devpor),
7889
	.combout(),
7890
	.regout(\pages[1][3] ),
7891
	.cout(),
7892
	.cascout());
7893
// synopsys translate_off
7894
defparam \pages[1][3]~I .clock_enable_mode = "true";
7895
defparam \pages[1][3]~I .lut_mask = "00ff";
7896
defparam \pages[1][3]~I .operation_mode = "normal";
7897
defparam \pages[1][3]~I .output_mode = "reg_only";
7898
defparam \pages[1][3]~I .packed_mode = "false";
7899
// synopsys translate_on
7900
 
7901
// atom is at LC2_A24
7902
flex10ke_lcell \page~3063_I (
7903
// Equation(s):
7904
// \page~3063  = p7ffd_int_4 & (\pages[1][3] ) # !p7ffd_int_4 & \pages[0][3] 
7905
 
7906
	.dataa(vcc),
7907
	.datab(p7ffd_int_4),
7908
	.datac(\pages[0][3] ),
7909
	.datad(\pages[1][3] ),
7910
	.aclr(gnd),
7911
	.aload(gnd),
7912
	.clk(gnd),
7913
	.cin(gnd),
7914
	.cascin(vcc),
7915
	.devclrn(devclrn),
7916
	.devpor(devpor),
7917
	.combout(\page~3063 ),
7918
	.regout(),
7919
	.cout(),
7920
	.cascout());
7921
// synopsys translate_off
7922
defparam \page~3063_I .clock_enable_mode = "false";
7923
defparam \page~3063_I .lut_mask = "fc30";
7924
defparam \page~3063_I .operation_mode = "normal";
7925
defparam \page~3063_I .output_mode = "comb_only";
7926
defparam \page~3063_I .packed_mode = "false";
7927
// synopsys translate_on
7928
 
7929
// atom is at LC4_A21
7930
flex10ke_lcell \page~3065_I (
7931
// Equation(s):
7932
// \page~3065  = !peff7_int_3 & (\page~3064  & (p7ffd_int_5) # !\page~3064  & \page~3063 )
7933
 
7934
	.dataa(peff7_int_3),
7935
	.datab(\page~3064 ),
7936
	.datac(\page~3063 ),
7937
	.datad(p7ffd_int_5),
7938
	.aclr(gnd),
7939
	.aload(gnd),
7940
	.clk(gnd),
7941
	.cin(gnd),
7942
	.cascin(vcc),
7943
	.devclrn(devclrn),
7944
	.devpor(devpor),
7945
	.combout(\page~3065 ),
7946
	.regout(),
7947
	.cout(),
7948
	.cascout());
7949
// synopsys translate_off
7950
defparam \page~3065_I .clock_enable_mode = "false";
7951
defparam \page~3065_I .lut_mask = "5410";
7952
defparam \page~3065_I .operation_mode = "normal";
7953
defparam \page~3065_I .output_mode = "comb_only";
7954
defparam \page~3065_I .packed_mode = "false";
7955
// synopsys translate_on
7956
 
7957
// atom is at LC3_A22
7958
flex10ke_lcell \pages[0][4]~I (
7959
// Equation(s):
7960
// \pages[0][4]  = DFFEA(!\d[4]~3 , GLOBAL(\fclk~dataout ), , , \ramnrom[0]~69 , , )
7961
 
7962
	.dataa(\ramnrom[0]~69 ),
7963
	.datab(vcc),
7964
	.datac(vcc),
7965
	.datad(d_4),
7966
	.aclr(gnd),
7967
	.aload(gnd),
7968
	.clk(fclk),
7969
	.cin(gnd),
7970
	.cascin(vcc),
7971
	.devclrn(devclrn),
7972
	.devpor(devpor),
7973
	.combout(),
7974
	.regout(\pages[0][4] ),
7975
	.cout(),
7976
	.cascout());
7977
// synopsys translate_off
7978
defparam \pages[0][4]~I .clock_enable_mode = "true";
7979
defparam \pages[0][4]~I .lut_mask = "00ff";
7980
defparam \pages[0][4]~I .operation_mode = "normal";
7981
defparam \pages[0][4]~I .output_mode = "reg_only";
7982
defparam \pages[0][4]~I .packed_mode = "false";
7983
// synopsys translate_on
7984
 
7985
// atom is at LC1_A22
7986
flex10ke_lcell \pages[1][4]~I (
7987
// Equation(s):
7988
// \pages[1][4]  = DFFEA(!\d[4]~3 , GLOBAL(\fclk~dataout ), , , \ramnrom[1]~68 , , )
7989
 
7990
	.dataa(\ramnrom[1]~68 ),
7991
	.datab(vcc),
7992
	.datac(vcc),
7993
	.datad(d_4),
7994
	.aclr(gnd),
7995
	.aload(gnd),
7996
	.clk(fclk),
7997
	.cin(gnd),
7998
	.cascin(vcc),
7999
	.devclrn(devclrn),
8000
	.devpor(devpor),
8001
	.combout(),
8002
	.regout(\pages[1][4] ),
8003
	.cout(),
8004
	.cascout());
8005
// synopsys translate_off
8006
defparam \pages[1][4]~I .clock_enable_mode = "true";
8007
defparam \pages[1][4]~I .lut_mask = "00ff";
8008
defparam \pages[1][4]~I .operation_mode = "normal";
8009
defparam \pages[1][4]~I .output_mode = "reg_only";
8010
defparam \pages[1][4]~I .packed_mode = "false";
8011
// synopsys translate_on
8012
 
8013
// atom is at LC2_A22
8014
flex10ke_lcell \page~3067_I (
8015
// Equation(s):
8016
// \page~3067  = p7ffd_int_4 & (\pages[1][4] ) # !p7ffd_int_4 & \pages[0][4] 
8017
 
8018
	.dataa(vcc),
8019
	.datab(p7ffd_int_4),
8020
	.datac(\pages[0][4] ),
8021
	.datad(\pages[1][4] ),
8022
	.aclr(gnd),
8023
	.aload(gnd),
8024
	.clk(gnd),
8025
	.cin(gnd),
8026
	.cascin(vcc),
8027
	.devclrn(devclrn),
8028
	.devpor(devpor),
8029
	.combout(\page~3067 ),
8030
	.regout(),
8031
	.cout(),
8032
	.cascout());
8033
// synopsys translate_off
8034
defparam \page~3067_I .clock_enable_mode = "false";
8035
defparam \page~3067_I .lut_mask = "fc30";
8036
defparam \page~3067_I .operation_mode = "normal";
8037
defparam \page~3067_I .output_mode = "comb_only";
8038
defparam \page~3067_I .packed_mode = "false";
8039
// synopsys translate_on
8040
 
8041
// atom is at LC6_A21
8042
flex10ke_lcell \page~3068_I (
8043
// Equation(s):
8044
// \page~3068  = !peff7_int_3 & (\page~3064  & (p7ffd_int_6) # !\page~3064  & \page~3067 )
8045
 
8046
	.dataa(peff7_int_3),
8047
	.datab(\page~3064 ),
8048
	.datac(\page~3067 ),
8049
	.datad(p7ffd_int_6),
8050
	.aclr(gnd),
8051
	.aload(gnd),
8052
	.clk(gnd),
8053
	.cin(gnd),
8054
	.cascin(vcc),
8055
	.devclrn(devclrn),
8056
	.devpor(devpor),
8057
	.combout(\page~3068 ),
8058
	.regout(),
8059
	.cout(),
8060
	.cascout());
8061
// synopsys translate_off
8062
defparam \page~3068_I .clock_enable_mode = "false";
8063
defparam \page~3068_I .lut_mask = "5410";
8064
defparam \page~3068_I .operation_mode = "normal";
8065
defparam \page~3068_I .output_mode = "comb_only";
8066
defparam \page~3068_I .packed_mode = "false";
8067
// synopsys translate_on
8068
 
8069
// atom is at LC3_A5
8070
flex10ke_lcell \stall_count[0]~I (
8071
// Equation(s):
8072
// stall_count[0] = DFFEA(dos_exec_stb1 # stall_count[0] $ stall_count_2, GLOBAL(\fclk~dataout ), , , , , )
8073
 
8074
	.dataa(vcc),
8075
	.datab(stall_count[0]),
8076
	.datac(stall_count_2),
8077
	.datad(dos_exec_stb1),
8078
	.aclr(gnd),
8079
	.aload(gnd),
8080
	.clk(fclk),
8081
	.cin(gnd),
8082
	.cascin(vcc),
8083
	.devclrn(devclrn),
8084
	.devpor(devpor),
8085
	.combout(),
8086
	.regout(stall_count[0]),
8087
	.cout(),
8088
	.cascout());
8089
// synopsys translate_off
8090
defparam \stall_count[0]~I .clock_enable_mode = "false";
8091
defparam \stall_count[0]~I .lut_mask = "ff3c";
8092
defparam \stall_count[0]~I .operation_mode = "normal";
8093
defparam \stall_count[0]~I .output_mode = "reg_only";
8094
defparam \stall_count[0]~I .packed_mode = "false";
8095
// synopsys translate_on
8096
 
8097
// atom is at LC4_A5
8098
flex10ke_lcell \stall_count[1]~39_I (
8099
// Equation(s):
8100
// \stall_count[1]~39  = !dos_exec_stb1
8101
 
8102
	.dataa(vcc),
8103
	.datab(vcc),
8104
	.datac(vcc),
8105
	.datad(dos_exec_stb1),
8106
	.aclr(gnd),
8107
	.aload(gnd),
8108
	.clk(gnd),
8109
	.cin(gnd),
8110
	.cascin(vcc),
8111
	.devclrn(devclrn),
8112
	.devpor(devpor),
8113
	.combout(\stall_count[1]~39 ),
8114
	.regout(),
8115
	.cout(),
8116
	.cascout());
8117
// synopsys translate_off
8118
defparam \stall_count[1]~39_I .clock_enable_mode = "false";
8119
defparam \stall_count[1]~39_I .lut_mask = "00ff";
8120
defparam \stall_count[1]~39_I .operation_mode = "normal";
8121
defparam \stall_count[1]~39_I .output_mode = "comb_only";
8122
defparam \stall_count[1]~39_I .packed_mode = "false";
8123
// synopsys translate_on
8124
 
8125
// atom is at LC2_A5
8126
flex10ke_lcell \stall_count[1]~I (
8127
// Equation(s):
8128
// stall_count[1] = DFFEA(stall_count[1] $ (stall_count[0] & stall_count_2), GLOBAL(\fclk~dataout ), , , \stall_count[1]~39 , , )
8129
 
8130
	.dataa(\stall_count[1]~39 ),
8131
	.datab(stall_count[0]),
8132
	.datac(stall_count_2),
8133
	.datad(stall_count[1]),
8134
	.aclr(gnd),
8135
	.aload(gnd),
8136
	.clk(fclk),
8137
	.cin(gnd),
8138
	.cascin(vcc),
8139
	.devclrn(devclrn),
8140
	.devpor(devpor),
8141
	.combout(),
8142
	.regout(stall_count[1]),
8143
	.cout(),
8144
	.cascout());
8145
// synopsys translate_off
8146
defparam \stall_count[1]~I .clock_enable_mode = "true";
8147
defparam \stall_count[1]~I .lut_mask = "3fc0";
8148
defparam \stall_count[1]~I .operation_mode = "normal";
8149
defparam \stall_count[1]~I .output_mode = "reg_only";
8150
defparam \stall_count[1]~I .packed_mode = "false";
8151
// synopsys translate_on
8152
 
8153
// atom is at LC6_D7
8154
flex10ke_lcell \m1_n_reg~I (
8155
// Equation(s):
8156
// m1_n_reg = DFFEA(\m1_n~dataout , GLOBAL(\fclk~dataout ), , , zpos, , )
8157
 
8158
	.dataa(zpos),
8159
	.datab(vcc),
8160
	.datac(vcc),
8161
	.datad(m1_n),
8162
	.aclr(gnd),
8163
	.aload(gnd),
8164
	.clk(fclk),
8165
	.cin(gnd),
8166
	.cascin(vcc),
8167
	.devclrn(devclrn),
8168
	.devpor(devpor),
8169
	.combout(),
8170
	.regout(m1_n_reg),
8171
	.cout(),
8172
	.cascout());
8173
// synopsys translate_off
8174
defparam \m1_n_reg~I .clock_enable_mode = "true";
8175
defparam \m1_n_reg~I .lut_mask = "ff00";
8176
defparam \m1_n_reg~I .operation_mode = "normal";
8177
defparam \m1_n_reg~I .output_mode = "reg_only";
8178
defparam \m1_n_reg~I .packed_mode = "false";
8179
// synopsys translate_on
8180
 
8181
// atom is at LC3_D7
8182
flex10ke_lcell \mreq_n_reg~I (
8183
// Equation(s):
8184
// mreq_n_reg = DFFEA(\mreq_n~dataout , GLOBAL(\fclk~dataout ), , , zneg, , )
8185
 
8186
	.dataa(zneg),
8187
	.datab(vcc),
8188
	.datac(vcc),
8189
	.datad(mreq_n),
8190
	.aclr(gnd),
8191
	.aload(gnd),
8192
	.clk(fclk),
8193
	.cin(gnd),
8194
	.cascin(vcc),
8195
	.devclrn(devclrn),
8196
	.devpor(devpor),
8197
	.combout(),
8198
	.regout(mreq_n_reg),
8199
	.cout(),
8200
	.cascout());
8201
// synopsys translate_off
8202
defparam \mreq_n_reg~I .clock_enable_mode = "true";
8203
defparam \mreq_n_reg~I .lut_mask = "ff00";
8204
defparam \mreq_n_reg~I .operation_mode = "normal";
8205
defparam \mreq_n_reg~I .output_mode = "reg_only";
8206
defparam \mreq_n_reg~I .packed_mode = "false";
8207
// synopsys translate_on
8208
 
8209
// atom is at LC1_A3
8210
flex10ke_lcell \dos_exec_stb~120_I (
8211
// Equation(s):
8212
// \dos_exec_stb~120  = !\a~dataout [9] & \a~dataout [10] & \a~dataout [13] & p7ffd_int_4
8213
 
8214
	.dataa(a_9),
8215
	.datab(a_10),
8216
	.datac(a_13),
8217
	.datad(p7ffd_int_4),
8218
	.aclr(gnd),
8219
	.aload(gnd),
8220
	.clk(gnd),
8221
	.cin(gnd),
8222
	.cascin(vcc),
8223
	.devclrn(devclrn),
8224
	.devpor(devpor),
8225
	.combout(\dos_exec_stb~120 ),
8226
	.regout(),
8227
	.cout(),
8228
	.cascout());
8229
// synopsys translate_off
8230
defparam \dos_exec_stb~120_I .clock_enable_mode = "false";
8231
defparam \dos_exec_stb~120_I .lut_mask = "4000";
8232
defparam \dos_exec_stb~120_I .operation_mode = "normal";
8233
defparam \dos_exec_stb~120_I .output_mode = "comb_only";
8234
defparam \dos_exec_stb~120_I .packed_mode = "false";
8235
// synopsys translate_on
8236
 
8237
// atom is at LC5_A3
8238
flex10ke_lcell \dos_exec_stb~121_I (
8239
// Equation(s):
8240
// \dos_exec_stb~121  = \a~dataout [11] & \a~dataout [8] & \a~dataout [12] & \dos_exec_stb~120 
8241
 
8242
	.dataa(a_11),
8243
	.datab(a_8),
8244
	.datac(a_12),
8245
	.datad(\dos_exec_stb~120 ),
8246
	.aclr(gnd),
8247
	.aload(gnd),
8248
	.clk(gnd),
8249
	.cin(gnd),
8250
	.cascin(vcc),
8251
	.devclrn(devclrn),
8252
	.devpor(devpor),
8253
	.combout(\dos_exec_stb~121 ),
8254
	.regout(),
8255
	.cout(),
8256
	.cascout());
8257
// synopsys translate_off
8258
defparam \dos_exec_stb~121_I .clock_enable_mode = "false";
8259
defparam \dos_exec_stb~121_I .lut_mask = "8000";
8260
defparam \dos_exec_stb~121_I .operation_mode = "normal";
8261
defparam \dos_exec_stb~121_I .output_mode = "comb_only";
8262
defparam \dos_exec_stb~121_I .packed_mode = "false";
8263
// synopsys translate_on
8264
 
8265
// atom is at LC3_A4
8266
flex10ke_lcell \ramnrom[0]~70_I (
8267
// Equation(s):
8268
// \ramnrom[0]~70  = !\a~dataout [15] & !\a~dataout [14]
8269
 
8270
	.dataa(vcc),
8271
	.datab(vcc),
8272
	.datac(a_15),
8273
	.datad(a_14),
8274
	.aclr(gnd),
8275
	.aload(gnd),
8276
	.clk(gnd),
8277
	.cin(gnd),
8278
	.cascin(vcc),
8279
	.devclrn(devclrn),
8280
	.devpor(devpor),
8281
	.combout(\ramnrom[0]~70 ),
8282
	.regout(),
8283
	.cout(),
8284
	.cascout());
8285
// synopsys translate_off
8286
defparam \ramnrom[0]~70_I .clock_enable_mode = "false";
8287
defparam \ramnrom[0]~70_I .lut_mask = "000f";
8288
defparam \ramnrom[0]~70_I .operation_mode = "normal";
8289
defparam \ramnrom[0]~70_I .output_mode = "comb_only";
8290
defparam \ramnrom[0]~70_I .packed_mode = "false";
8291
// synopsys translate_on
8292
 
8293
// atom is at LC5_A22
8294
flex10ke_lcell \pages[0][5]~I (
8295
// Equation(s):
8296
// \pages[0][5]  = DFFEA(!\d[5]~2 , GLOBAL(\fclk~dataout ), , , \ramnrom[0]~69 , , )
8297
 
8298
	.dataa(\ramnrom[0]~69 ),
8299
	.datab(vcc),
8300
	.datac(vcc),
8301
	.datad(d_5),
8302
	.aclr(gnd),
8303
	.aload(gnd),
8304
	.clk(fclk),
8305
	.cin(gnd),
8306
	.cascin(vcc),
8307
	.devclrn(devclrn),
8308
	.devpor(devpor),
8309
	.combout(),
8310
	.regout(\pages[0][5] ),
8311
	.cout(),
8312
	.cascout());
8313
// synopsys translate_off
8314
defparam \pages[0][5]~I .clock_enable_mode = "true";
8315
defparam \pages[0][5]~I .lut_mask = "00ff";
8316
defparam \pages[0][5]~I .operation_mode = "normal";
8317
defparam \pages[0][5]~I .output_mode = "reg_only";
8318
defparam \pages[0][5]~I .packed_mode = "false";
8319
// synopsys translate_on
8320
 
8321
// atom is at LC4_A22
8322
flex10ke_lcell \pages[1][5]~I (
8323
// Equation(s):
8324
// \pages[1][5]  = DFFEA(!\d[5]~2 , GLOBAL(\fclk~dataout ), , , \ramnrom[1]~68 , , )
8325
 
8326
	.dataa(\ramnrom[1]~68 ),
8327
	.datab(vcc),
8328
	.datac(vcc),
8329
	.datad(d_5),
8330
	.aclr(gnd),
8331
	.aload(gnd),
8332
	.clk(fclk),
8333
	.cin(gnd),
8334
	.cascin(vcc),
8335
	.devclrn(devclrn),
8336
	.devpor(devpor),
8337
	.combout(),
8338
	.regout(\pages[1][5] ),
8339
	.cout(),
8340
	.cascout());
8341
// synopsys translate_off
8342
defparam \pages[1][5]~I .clock_enable_mode = "true";
8343
defparam \pages[1][5]~I .lut_mask = "00ff";
8344
defparam \pages[1][5]~I .operation_mode = "normal";
8345
defparam \pages[1][5]~I .output_mode = "reg_only";
8346
defparam \pages[1][5]~I .packed_mode = "false";
8347
// synopsys translate_on
8348
 
8349
// atom is at LC7_A22
8350
flex10ke_lcell \page~3070_I (
8351
// Equation(s):
8352
// \page~3070  = p7ffd_int_4 & (\pages[1][5] ) # !p7ffd_int_4 & \pages[0][5] 
8353
 
8354
	.dataa(vcc),
8355
	.datab(p7ffd_int_4),
8356
	.datac(\pages[0][5] ),
8357
	.datad(\pages[1][5] ),
8358
	.aclr(gnd),
8359
	.aload(gnd),
8360
	.clk(gnd),
8361
	.cin(gnd),
8362
	.cascin(vcc),
8363
	.devclrn(devclrn),
8364
	.devpor(devpor),
8365
	.combout(\page~3070 ),
8366
	.regout(),
8367
	.cout(),
8368
	.cascout());
8369
// synopsys translate_off
8370
defparam \page~3070_I .clock_enable_mode = "false";
8371
defparam \page~3070_I .lut_mask = "fc30";
8372
defparam \page~3070_I .operation_mode = "normal";
8373
defparam \page~3070_I .output_mode = "comb_only";
8374
defparam \page~3070_I .packed_mode = "false";
8375
// synopsys translate_on
8376
 
8377
// atom is at LC7_A21
8378
flex10ke_lcell \page~3071_I (
8379
// Equation(s):
8380
// \page~3071  = !peff7_int_3 & (\page~3064  & (p7ffd_int_7) # !\page~3064  & \page~3070 )
8381
 
8382
	.dataa(peff7_int_3),
8383
	.datab(\page~3064 ),
8384
	.datac(\page~3070 ),
8385
	.datad(p7ffd_int_7),
8386
	.aclr(gnd),
8387
	.aload(gnd),
8388
	.clk(gnd),
8389
	.cin(gnd),
8390
	.cascin(vcc),
8391
	.devclrn(devclrn),
8392
	.devpor(devpor),
8393
	.combout(\page~3071 ),
8394
	.regout(),
8395
	.cout(),
8396
	.cascout());
8397
// synopsys translate_off
8398
defparam \page~3071_I .clock_enable_mode = "false";
8399
defparam \page~3071_I .lut_mask = "5410";
8400
defparam \page~3071_I .operation_mode = "normal";
8401
defparam \page~3071_I .output_mode = "comb_only";
8402
defparam \page~3071_I .packed_mode = "false";
8403
// synopsys translate_on
8404
 
8405
// atom is at LC2_D19
8406
flex10ke_lcell \pages[0][6]~I (
8407
// Equation(s):
8408
// \pages[0][6]  = DFFEA(!\d[6]~1  & !\a~dataout [11], GLOBAL(\fclk~dataout ), , , \ramnrom[0]~69 , , )
8409
 
8410
	.dataa(\ramnrom[0]~69 ),
8411
	.datab(vcc),
8412
	.datac(d_6),
8413
	.datad(a_11),
8414
	.aclr(gnd),
8415
	.aload(gnd),
8416
	.clk(fclk),
8417
	.cin(gnd),
8418
	.cascin(vcc),
8419
	.devclrn(devclrn),
8420
	.devpor(devpor),
8421
	.combout(),
8422
	.regout(\pages[0][6] ),
8423
	.cout(),
8424
	.cascout());
8425
// synopsys translate_off
8426
defparam \pages[0][6]~I .clock_enable_mode = "true";
8427
defparam \pages[0][6]~I .lut_mask = "000f";
8428
defparam \pages[0][6]~I .operation_mode = "normal";
8429
defparam \pages[0][6]~I .output_mode = "reg_only";
8430
defparam \pages[0][6]~I .packed_mode = "false";
8431
// synopsys translate_on
8432
 
8433
// atom is at LC1_D19
8434
flex10ke_lcell \pages[1][6]~I (
8435
// Equation(s):
8436
// \pages[1][6]  = DFFEA(!\d[6]~1  & !\a~dataout [11], GLOBAL(\fclk~dataout ), , , \ramnrom[1]~68 , , )
8437
 
8438
	.dataa(\ramnrom[1]~68 ),
8439
	.datab(vcc),
8440
	.datac(d_6),
8441
	.datad(a_11),
8442
	.aclr(gnd),
8443
	.aload(gnd),
8444
	.clk(fclk),
8445
	.cin(gnd),
8446
	.cascin(vcc),
8447
	.devclrn(devclrn),
8448
	.devpor(devpor),
8449
	.combout(),
8450
	.regout(\pages[1][6] ),
8451
	.cout(),
8452
	.cascout());
8453
// synopsys translate_off
8454
defparam \pages[1][6]~I .clock_enable_mode = "true";
8455
defparam \pages[1][6]~I .lut_mask = "000f";
8456
defparam \pages[1][6]~I .operation_mode = "normal";
8457
defparam \pages[1][6]~I .output_mode = "reg_only";
8458
defparam \pages[1][6]~I .packed_mode = "false";
8459
// synopsys translate_on
8460
 
8461
// atom is at LC3_D19
8462
flex10ke_lcell \page~3073_I (
8463
// Equation(s):
8464
// \page~3073  = !peff7_int_3 & (p7ffd_int_4 & (\pages[1][6] ) # !p7ffd_int_4 & \pages[0][6] )
8465
 
8466
	.dataa(peff7_int_3),
8467
	.datab(p7ffd_int_4),
8468
	.datac(\pages[0][6] ),
8469
	.datad(\pages[1][6] ),
8470
	.aclr(gnd),
8471
	.aload(gnd),
8472
	.clk(gnd),
8473
	.cin(gnd),
8474
	.cascin(vcc),
8475
	.devclrn(devclrn),
8476
	.devpor(devpor),
8477
	.combout(\page~3073 ),
8478
	.regout(),
8479
	.cout(),
8480
	.cascout());
8481
// synopsys translate_off
8482
defparam \page~3073_I .clock_enable_mode = "false";
8483
defparam \page~3073_I .lut_mask = "5410";
8484
defparam \page~3073_I .operation_mode = "normal";
8485
defparam \page~3073_I .output_mode = "comb_only";
8486
defparam \page~3073_I .packed_mode = "false";
8487
// synopsys translate_on
8488
 
8489
// atom is at LC6_D19
8490
flex10ke_lcell \pages[0][7]~I (
8491
// Equation(s):
8492
// \pages[0][7]  = DFFEA(!\d[7]~0  & !\a~dataout [11], GLOBAL(\fclk~dataout ), , , \ramnrom[0]~69 , , )
8493
 
8494
	.dataa(\ramnrom[0]~69 ),
8495
	.datab(vcc),
8496
	.datac(d_7),
8497
	.datad(a_11),
8498
	.aclr(gnd),
8499
	.aload(gnd),
8500
	.clk(fclk),
8501
	.cin(gnd),
8502
	.cascin(vcc),
8503
	.devclrn(devclrn),
8504
	.devpor(devpor),
8505
	.combout(),
8506
	.regout(\pages[0][7] ),
8507
	.cout(),
8508
	.cascout());
8509
// synopsys translate_off
8510
defparam \pages[0][7]~I .clock_enable_mode = "true";
8511
defparam \pages[0][7]~I .lut_mask = "000f";
8512
defparam \pages[0][7]~I .operation_mode = "normal";
8513
defparam \pages[0][7]~I .output_mode = "reg_only";
8514
defparam \pages[0][7]~I .packed_mode = "false";
8515
// synopsys translate_on
8516
 
8517
// atom is at LC5_D19
8518
flex10ke_lcell \pages[1][7]~I (
8519
// Equation(s):
8520
// \pages[1][7]  = DFFEA(!\d[7]~0  & !\a~dataout [11], GLOBAL(\fclk~dataout ), , , \ramnrom[1]~68 , , )
8521
 
8522
	.dataa(\ramnrom[1]~68 ),
8523
	.datab(vcc),
8524
	.datac(d_7),
8525
	.datad(a_11),
8526
	.aclr(gnd),
8527
	.aload(gnd),
8528
	.clk(fclk),
8529
	.cin(gnd),
8530
	.cascin(vcc),
8531
	.devclrn(devclrn),
8532
	.devpor(devpor),
8533
	.combout(),
8534
	.regout(\pages[1][7] ),
8535
	.cout(),
8536
	.cascout());
8537
// synopsys translate_off
8538
defparam \pages[1][7]~I .clock_enable_mode = "true";
8539
defparam \pages[1][7]~I .lut_mask = "000f";
8540
defparam \pages[1][7]~I .operation_mode = "normal";
8541
defparam \pages[1][7]~I .output_mode = "reg_only";
8542
defparam \pages[1][7]~I .packed_mode = "false";
8543
// synopsys translate_on
8544
 
8545
// atom is at LC7_D19
8546
flex10ke_lcell \page~3075_I (
8547
// Equation(s):
8548
// \page~3075  = !peff7_int_3 & (p7ffd_int_4 & (\pages[1][7] ) # !p7ffd_int_4 & \pages[0][7] )
8549
 
8550
	.dataa(peff7_int_3),
8551
	.datab(p7ffd_int_4),
8552
	.datac(\pages[0][7] ),
8553
	.datad(\pages[1][7] ),
8554
	.aclr(gnd),
8555
	.aload(gnd),
8556
	.clk(gnd),
8557
	.cin(gnd),
8558
	.cascin(vcc),
8559
	.devclrn(devclrn),
8560
	.devpor(devpor),
8561
	.combout(\page~3075 ),
8562
	.regout(),
8563
	.cout(),
8564
	.cascout());
8565
// synopsys translate_off
8566
defparam \page~3075_I .clock_enable_mode = "false";
8567
defparam \page~3075_I .lut_mask = "5410";
8568
defparam \page~3075_I .operation_mode = "normal";
8569
defparam \page~3075_I .output_mode = "comb_only";
8570
defparam \page~3075_I .packed_mode = "false";
8571
// synopsys translate_on
8572
 
8573
endmodule
8574
 
8575
module atm_pager_1 (
8576
	romnram,
8577
	page_0,
8578
	page_1,
8579
	page_2,
8580
	page_3,
8581
	page_4,
8582
	dos,
8583
	p7ffd_int_4,
8584
	atm_pen,
8585
	p7ffd_int_0,
8586
	always0,
8587
	p7ffd_int_1,
8588
	p7ffd_int_2,
8589
	p7ffd_int_5,
8590
	peff7_int_2,
8591
	p7ffd_int_6,
8592
	stall_count_2,
8593
	atmF7_wr_fclk,
8594
	dos_7ffd_0,
8595
	dos_exec_stb,
8596
	ramnrom_0,
8597
	dos_exec_stb1,
8598
	page_5,
8599
	page_6,
8600
	page_7,
8601
	p7ffd_int_7,
8602
	a_14,
8603
	a_15,
8604
	fclk,
8605
	a_11,
8606
	d_0,
8607
	d_1,
8608
	d_2,
8609
	d_3,
8610
	d_4,
8611
	d_5,
8612
	d_6,
8613
	d_7,
8614
	devpor,
8615
	devclrn,
8616
	devoe);
8617
output 	romnram;
8618
output 	page_0;
8619
output 	page_1;
8620
output 	page_2;
8621
output 	page_3;
8622
output 	page_4;
8623
input 	dos;
8624
input 	p7ffd_int_4;
8625
input 	atm_pen;
8626
input 	p7ffd_int_0;
8627
output 	always0;
8628
input 	p7ffd_int_1;
8629
input 	p7ffd_int_2;
8630
input 	p7ffd_int_5;
8631
input 	peff7_int_2;
8632
input 	p7ffd_int_6;
8633
output 	stall_count_2;
8634
input 	atmF7_wr_fclk;
8635
input 	dos_7ffd_0;
8636
input 	dos_exec_stb;
8637
output 	ramnrom_0;
8638
output 	dos_exec_stb1;
8639
output 	page_5;
8640
output 	page_6;
8641
output 	page_7;
8642
input 	p7ffd_int_7;
8643
input 	a_14;
8644
input 	a_15;
8645
input 	fclk;
8646
input 	a_11;
8647
input 	d_0;
8648
input 	d_1;
8649
input 	d_2;
8650
input 	d_3;
8651
input 	d_4;
8652
input 	d_5;
8653
input 	d_6;
8654
input 	d_7;
8655
input 	devpor;
8656
input 	devclrn;
8657
input 	devoe;
8658
 
8659
wire gnd = 1'b0;
8660
wire vcc = 1'b1;
8661
 
8662
wire \dos_7ffd[1]~58 ;
8663
wire \dos_7ffd[0]~59 ;
8664
wire \ramnrom[1]~65 ;
8665
wire \ramnrom[0]~64 ;
8666
wire \always0~48 ;
8667
wire \pages[0][0] ;
8668
wire \pages[1][0] ;
8669
wire \page~1633 ;
8670
wire \page~1632 ;
8671
wire \page~1636 ;
8672
wire \pages[0][1] ;
8673
wire \pages[1][1] ;
8674
wire \page~1635 ;
8675
wire \pages[0][2] ;
8676
wire \pages[1][2] ;
8677
wire \page~1638 ;
8678
wire \page~1641 ;
8679
wire \pages[0][3] ;
8680
wire \pages[1][3] ;
8681
wire \page~1640 ;
8682
wire \pages[0][4] ;
8683
wire \pages[1][4] ;
8684
wire \page~1643 ;
8685
wire \stall_count[1]~39 ;
8686
wire \pages[0][5] ;
8687
wire \pages[1][5] ;
8688
wire \page~1645 ;
8689
wire \pages[0][6] ;
8690
wire \pages[1][6] ;
8691
wire \pages[0][7] ;
8692
wire \pages[1][7] ;
8693
wire [1:0] dos_7ffd;
8694
wire [1:0] ramnrom;
8695
wire [2:0] stall_count;
8696
 
8697
 
8698
// atom is at LC6_A16
8699
flex10ke_lcell \dos_7ffd[1]~58_I (
8700
// Equation(s):
8701
// \dos_7ffd[1]~58  = !\a~dataout [15] & dos_7ffd_0 & p7ffd_int_4 & \a~dataout [14]
8702
 
8703
	.dataa(a_15),
8704
	.datab(dos_7ffd_0),
8705
	.datac(p7ffd_int_4),
8706
	.datad(a_14),
8707
	.aclr(gnd),
8708
	.aload(gnd),
8709
	.clk(gnd),
8710
	.cin(gnd),
8711
	.cascin(vcc),
8712
	.devclrn(devclrn),
8713
	.devpor(devpor),
8714
	.combout(\dos_7ffd[1]~58 ),
8715
	.regout(),
8716
	.cout(),
8717
	.cascout());
8718
// synopsys translate_off
8719
defparam \dos_7ffd[1]~58_I .clock_enable_mode = "false";
8720
defparam \dos_7ffd[1]~58_I .lut_mask = "4000";
8721
defparam \dos_7ffd[1]~58_I .operation_mode = "normal";
8722
defparam \dos_7ffd[1]~58_I .output_mode = "comb_only";
8723
defparam \dos_7ffd[1]~58_I .packed_mode = "false";
8724
// synopsys translate_on
8725
 
8726
// atom is at LC7_A16
8727
flex10ke_lcell \dos_7ffd[0]~59_I (
8728
// Equation(s):
8729
// \dos_7ffd[0]~59  = !\a~dataout [15] & !p7ffd_int_4 & \a~dataout [14] & dos_7ffd_0
8730
 
8731
	.dataa(a_15),
8732
	.datab(p7ffd_int_4),
8733
	.datac(a_14),
8734
	.datad(dos_7ffd_0),
8735
	.aclr(gnd),
8736
	.aload(gnd),
8737
	.clk(gnd),
8738
	.cin(gnd),
8739
	.cascin(vcc),
8740
	.devclrn(devclrn),
8741
	.devpor(devpor),
8742
	.combout(\dos_7ffd[0]~59 ),
8743
	.regout(),
8744
	.cout(),
8745
	.cascout());
8746
// synopsys translate_off
8747
defparam \dos_7ffd[0]~59_I .clock_enable_mode = "false";
8748
defparam \dos_7ffd[0]~59_I .lut_mask = "1000";
8749
defparam \dos_7ffd[0]~59_I .operation_mode = "normal";
8750
defparam \dos_7ffd[0]~59_I .output_mode = "comb_only";
8751
defparam \dos_7ffd[0]~59_I .packed_mode = "false";
8752
// synopsys translate_on
8753
 
8754
// atom is at LC6_A19
8755
flex10ke_lcell \romnram~I (
8756
// Equation(s):
8757
// romnram = DFFEA(p7ffd_int_4 & !ramnrom[1] # !p7ffd_int_4 & (!ramnrom[0]) # !atm_pen, GLOBAL(\fclk~dataout ), , , , , )
8758
 
8759
	.dataa(atm_pen),
8760
	.datab(ramnrom[1]),
8761
	.datac(ramnrom[0]),
8762
	.datad(p7ffd_int_4),
8763
	.aclr(gnd),
8764
	.aload(gnd),
8765
	.clk(fclk),
8766
	.cin(gnd),
8767
	.cascin(vcc),
8768
	.devclrn(devclrn),
8769
	.devpor(devpor),
8770
	.combout(),
8771
	.regout(romnram),
8772
	.cout(),
8773
	.cascout());
8774
// synopsys translate_off
8775
defparam \romnram~I .clock_enable_mode = "false";
8776
defparam \romnram~I .lut_mask = "775f";
8777
defparam \romnram~I .operation_mode = "normal";
8778
defparam \romnram~I .output_mode = "reg_only";
8779
defparam \romnram~I .packed_mode = "false";
8780
// synopsys translate_on
8781
 
8782
// atom is at LC5_A19
8783
flex10ke_lcell \page[0]~I (
8784
// Equation(s):
8785
// page_0 = DFFEA(\always0~48  & (\page~1632 ) # !\always0~48  & \page~1633  # !atm_pen, GLOBAL(\fclk~dataout ), , , , , )
8786
 
8787
	.dataa(atm_pen),
8788
	.datab(\always0~48 ),
8789
	.datac(\page~1633 ),
8790
	.datad(\page~1632 ),
8791
	.aclr(gnd),
8792
	.aload(gnd),
8793
	.clk(fclk),
8794
	.cin(gnd),
8795
	.cascin(vcc),
8796
	.devclrn(devclrn),
8797
	.devpor(devpor),
8798
	.combout(),
8799
	.regout(page_0),
8800
	.cout(),
8801
	.cascout());
8802
// synopsys translate_off
8803
defparam \page[0]~I .clock_enable_mode = "false";
8804
defparam \page[0]~I .lut_mask = "fd75";
8805
defparam \page[0]~I .operation_mode = "normal";
8806
defparam \page[0]~I .output_mode = "reg_only";
8807
defparam \page[0]~I .packed_mode = "false";
8808
// synopsys translate_on
8809
 
8810
// atom is at LC4_B4
8811
flex10ke_lcell \page[1]~I (
8812
// Equation(s):
8813
// page_1 = DFFEA(\page~1636  & (p7ffd_int_1) # !\page~1636  & \page~1635  # !atm_pen, GLOBAL(\fclk~dataout ), , , , , )
8814
 
8815
	.dataa(atm_pen),
8816
	.datab(\page~1636 ),
8817
	.datac(\page~1635 ),
8818
	.datad(p7ffd_int_1),
8819
	.aclr(gnd),
8820
	.aload(gnd),
8821
	.clk(fclk),
8822
	.cin(gnd),
8823
	.cascin(vcc),
8824
	.devclrn(devclrn),
8825
	.devpor(devpor),
8826
	.combout(),
8827
	.regout(page_1),
8828
	.cout(),
8829
	.cascout());
8830
// synopsys translate_off
8831
defparam \page[1]~I .clock_enable_mode = "false";
8832
defparam \page[1]~I .lut_mask = "fd75";
8833
defparam \page[1]~I .operation_mode = "normal";
8834
defparam \page[1]~I .output_mode = "reg_only";
8835
defparam \page[1]~I .packed_mode = "false";
8836
// synopsys translate_on
8837
 
8838
// atom is at LC2_B4
8839
flex10ke_lcell \page[2]~I (
8840
// Equation(s):
8841
// page_2 = DFFEA(\page~1636  & (p7ffd_int_2) # !\page~1636  & \page~1638  # !atm_pen, GLOBAL(\fclk~dataout ), , , , , )
8842
 
8843
	.dataa(atm_pen),
8844
	.datab(\page~1636 ),
8845
	.datac(\page~1638 ),
8846
	.datad(p7ffd_int_2),
8847
	.aclr(gnd),
8848
	.aload(gnd),
8849
	.clk(fclk),
8850
	.cin(gnd),
8851
	.cascin(vcc),
8852
	.devclrn(devclrn),
8853
	.devpor(devpor),
8854
	.combout(),
8855
	.regout(page_2),
8856
	.cout(),
8857
	.cascout());
8858
// synopsys translate_off
8859
defparam \page[2]~I .clock_enable_mode = "false";
8860
defparam \page[2]~I .lut_mask = "fd75";
8861
defparam \page[2]~I .operation_mode = "normal";
8862
defparam \page[2]~I .output_mode = "reg_only";
8863
defparam \page[2]~I .packed_mode = "false";
8864
// synopsys translate_on
8865
 
8866
// atom is at LC8_B19
8867
flex10ke_lcell \page[3]~I (
8868
// Equation(s):
8869
// page_3 = DFFEA(\page~1641  & (p7ffd_int_5) # !\page~1641  & \page~1640  # !atm_pen, GLOBAL(\fclk~dataout ), , , , , )
8870
 
8871
	.dataa(atm_pen),
8872
	.datab(\page~1641 ),
8873
	.datac(\page~1640 ),
8874
	.datad(p7ffd_int_5),
8875
	.aclr(gnd),
8876
	.aload(gnd),
8877
	.clk(fclk),
8878
	.cin(gnd),
8879
	.cascin(vcc),
8880
	.devclrn(devclrn),
8881
	.devpor(devpor),
8882
	.combout(),
8883
	.regout(page_3),
8884
	.cout(),
8885
	.cascout());
8886
// synopsys translate_off
8887
defparam \page[3]~I .clock_enable_mode = "false";
8888
defparam \page[3]~I .lut_mask = "fd75";
8889
defparam \page[3]~I .operation_mode = "normal";
8890
defparam \page[3]~I .output_mode = "reg_only";
8891
defparam \page[3]~I .packed_mode = "false";
8892
// synopsys translate_on
8893
 
8894
// atom is at LC5_B19
8895
flex10ke_lcell \page[4]~I (
8896
// Equation(s):
8897
// page_4 = DFFEA(\page~1641  & (p7ffd_int_6) # !\page~1641  & \page~1643  # !atm_pen, GLOBAL(\fclk~dataout ), , , , , )
8898
 
8899
	.dataa(atm_pen),
8900
	.datab(\page~1641 ),
8901
	.datac(\page~1643 ),
8902
	.datad(p7ffd_int_6),
8903
	.aclr(gnd),
8904
	.aload(gnd),
8905
	.clk(fclk),
8906
	.cin(gnd),
8907
	.cascin(vcc),
8908
	.devclrn(devclrn),
8909
	.devpor(devpor),
8910
	.combout(),
8911
	.regout(page_4),
8912
	.cout(),
8913
	.cascout());
8914
// synopsys translate_off
8915
defparam \page[4]~I .clock_enable_mode = "false";
8916
defparam \page[4]~I .lut_mask = "fd75";
8917
defparam \page[4]~I .operation_mode = "normal";
8918
defparam \page[4]~I .output_mode = "reg_only";
8919
defparam \page[4]~I .packed_mode = "false";
8920
// synopsys translate_on
8921
 
8922
// atom is at LC8_A19
8923
flex10ke_lcell \always0~47_I (
8924
// Equation(s):
8925
// always0 = p7ffd_int_4 & (ramnrom[1]) # !p7ffd_int_4 & ramnrom[0]
8926
 
8927
	.dataa(vcc),
8928
	.datab(p7ffd_int_4),
8929
	.datac(ramnrom[0]),
8930
	.datad(ramnrom[1]),
8931
	.aclr(gnd),
8932
	.aload(gnd),
8933
	.clk(gnd),
8934
	.cin(gnd),
8935
	.cascin(vcc),
8936
	.devclrn(devclrn),
8937
	.devpor(devpor),
8938
	.combout(always0),
8939
	.regout(),
8940
	.cout(),
8941
	.cascout());
8942
// synopsys translate_off
8943
defparam \always0~47_I .clock_enable_mode = "false";
8944
defparam \always0~47_I .lut_mask = "fc30";
8945
defparam \always0~47_I .operation_mode = "normal";
8946
defparam \always0~47_I .output_mode = "comb_only";
8947
defparam \always0~47_I .packed_mode = "false";
8948
// synopsys translate_on
8949
 
8950
// atom is at LC8_A9
8951
flex10ke_lcell \stall_count[2]~I (
8952
// Equation(s):
8953
// stall_count_2 = DFFEA(dos_exec_stb1 # stall_count_2 & (!stall_count[1] # !stall_count[0]), GLOBAL(\fclk~dataout ), , , , , )
8954
 
8955
	.dataa(stall_count[0]),
8956
	.datab(stall_count[1]),
8957
	.datac(stall_count_2),
8958
	.datad(dos_exec_stb1),
8959
	.aclr(gnd),
8960
	.aload(gnd),
8961
	.clk(fclk),
8962
	.cin(gnd),
8963
	.cascin(vcc),
8964
	.devclrn(devclrn),
8965
	.devpor(devpor),
8966
	.combout(),
8967
	.regout(stall_count_2),
8968
	.cout(),
8969
	.cascout());
8970
// synopsys translate_off
8971
defparam \stall_count[2]~I .clock_enable_mode = "false";
8972
defparam \stall_count[2]~I .lut_mask = "ff70";
8973
defparam \stall_count[2]~I .operation_mode = "normal";
8974
defparam \stall_count[2]~I .output_mode = "reg_only";
8975
defparam \stall_count[2]~I .packed_mode = "false";
8976
// synopsys translate_on
8977
 
8978
// atom is at LC6_A7
8979
flex10ke_lcell \ramnrom[0]~66_I (
8980
// Equation(s):
8981
// ramnrom_0 = !\a~dataout [15] & \a~dataout [14]
8982
 
8983
	.dataa(vcc),
8984
	.datab(vcc),
8985
	.datac(a_15),
8986
	.datad(a_14),
8987
	.aclr(gnd),
8988
	.aload(gnd),
8989
	.clk(gnd),
8990
	.cin(gnd),
8991
	.cascin(vcc),
8992
	.devclrn(devclrn),
8993
	.devpor(devpor),
8994
	.combout(ramnrom_0),
8995
	.regout(),
8996
	.cout(),
8997
	.cascout());
8998
// synopsys translate_off
8999
defparam \ramnrom[0]~66_I .clock_enable_mode = "false";
9000
defparam \ramnrom[0]~66_I .lut_mask = "0f00";
9001
defparam \ramnrom[0]~66_I .operation_mode = "normal";
9002
defparam \ramnrom[0]~66_I .output_mode = "comb_only";
9003
defparam \ramnrom[0]~66_I .packed_mode = "false";
9004
// synopsys translate_on
9005
 
9006
// atom is at LC7_A2
9007
flex10ke_lcell \dos_exec_stb~67_I (
9008
// Equation(s):
9009
// dos_exec_stb1 = !ramnrom[1] & dos_7ffd[1] & ramnrom_0 & dos_exec_stb
9010
 
9011
	.dataa(ramnrom[1]),
9012
	.datab(dos_7ffd[1]),
9013
	.datac(ramnrom_0),
9014
	.datad(dos_exec_stb),
9015
	.aclr(gnd),
9016
	.aload(gnd),
9017
	.clk(gnd),
9018
	.cin(gnd),
9019
	.cascin(vcc),
9020
	.devclrn(devclrn),
9021
	.devpor(devpor),
9022
	.combout(dos_exec_stb1),
9023
	.regout(),
9024
	.cout(),
9025
	.cascout());
9026
// synopsys translate_off
9027
defparam \dos_exec_stb~67_I .clock_enable_mode = "false";
9028
defparam \dos_exec_stb~67_I .lut_mask = "4000";
9029
defparam \dos_exec_stb~67_I .operation_mode = "normal";
9030
defparam \dos_exec_stb~67_I .output_mode = "comb_only";
9031
defparam \dos_exec_stb~67_I .packed_mode = "false";
9032
// synopsys translate_on
9033
 
9034
// atom is at LC7_B19
9035
flex10ke_lcell \page[5]~I (
9036
// Equation(s):
9037
// page_5 = DFFEA(\page~1641  & (p7ffd_int_7) # !\page~1641  & \page~1645  # !atm_pen, GLOBAL(\fclk~dataout ), , , , , )
9038
 
9039
	.dataa(atm_pen),
9040
	.datab(\page~1641 ),
9041
	.datac(\page~1645 ),
9042
	.datad(p7ffd_int_7),
9043
	.aclr(gnd),
9044
	.aload(gnd),
9045
	.clk(fclk),
9046
	.cin(gnd),
9047
	.cascin(vcc),
9048
	.devclrn(devclrn),
9049
	.devpor(devpor),
9050
	.combout(),
9051
	.regout(page_5),
9052
	.cout(),
9053
	.cascout());
9054
// synopsys translate_off
9055
defparam \page[5]~I .clock_enable_mode = "false";
9056
defparam \page[5]~I .lut_mask = "fd75";
9057
defparam \page[5]~I .operation_mode = "normal";
9058
defparam \page[5]~I .output_mode = "reg_only";
9059
defparam \page[5]~I .packed_mode = "false";
9060
// synopsys translate_on
9061
 
9062
// atom is at LC1_D23
9063
flex10ke_lcell \page[6]~I (
9064
// Equation(s):
9065
// page_6 = DFFEA(p7ffd_int_4 & (\pages[1][6] ) # !p7ffd_int_4 & \pages[0][6]  # !atm_pen, GLOBAL(\fclk~dataout ), , , , , )
9066
 
9067
	.dataa(atm_pen),
9068
	.datab(p7ffd_int_4),
9069
	.datac(\pages[0][6] ),
9070
	.datad(\pages[1][6] ),
9071
	.aclr(gnd),
9072
	.aload(gnd),
9073
	.clk(fclk),
9074
	.cin(gnd),
9075
	.cascin(vcc),
9076
	.devclrn(devclrn),
9077
	.devpor(devpor),
9078
	.combout(),
9079
	.regout(page_6),
9080
	.cout(),
9081
	.cascout());
9082
// synopsys translate_off
9083
defparam \page[6]~I .clock_enable_mode = "false";
9084
defparam \page[6]~I .lut_mask = "fd75";
9085
defparam \page[6]~I .operation_mode = "normal";
9086
defparam \page[6]~I .output_mode = "reg_only";
9087
defparam \page[6]~I .packed_mode = "false";
9088
// synopsys translate_on
9089
 
9090
// atom is at LC2_D23
9091
flex10ke_lcell \page[7]~I (
9092
// Equation(s):
9093
// page_7 = DFFEA(p7ffd_int_4 & (\pages[1][7] ) # !p7ffd_int_4 & \pages[0][7]  # !atm_pen, GLOBAL(\fclk~dataout ), , , , , )
9094
 
9095
	.dataa(atm_pen),
9096
	.datab(p7ffd_int_4),
9097
	.datac(\pages[0][7] ),
9098
	.datad(\pages[1][7] ),
9099
	.aclr(gnd),
9100
	.aload(gnd),
9101
	.clk(fclk),
9102
	.cin(gnd),
9103
	.cascin(vcc),
9104
	.devclrn(devclrn),
9105
	.devpor(devpor),
9106
	.combout(),
9107
	.regout(page_7),
9108
	.cout(),
9109
	.cascout());
9110
// synopsys translate_off
9111
defparam \page[7]~I .clock_enable_mode = "false";
9112
defparam \page[7]~I .lut_mask = "fd75";
9113
defparam \page[7]~I .operation_mode = "normal";
9114
defparam \page[7]~I .output_mode = "reg_only";
9115
defparam \page[7]~I .packed_mode = "false";
9116
// synopsys translate_on
9117
 
9118
// atom is at LC6_C21
9119
flex10ke_lcell \ramnrom[1]~65_I (
9120
// Equation(s):
9121
// \ramnrom[1]~65  = !\a~dataout [15] & p7ffd_int_4 & \a~dataout [14] & atmF7_wr_fclk
9122
 
9123
	.dataa(a_15),
9124
	.datab(p7ffd_int_4),
9125
	.datac(a_14),
9126
	.datad(atmF7_wr_fclk),
9127
	.aclr(gnd),
9128
	.aload(gnd),
9129
	.clk(gnd),
9130
	.cin(gnd),
9131
	.cascin(vcc),
9132
	.devclrn(devclrn),
9133
	.devpor(devpor),
9134
	.combout(\ramnrom[1]~65 ),
9135
	.regout(),
9136
	.cout(),
9137
	.cascout());
9138
// synopsys translate_off
9139
defparam \ramnrom[1]~65_I .clock_enable_mode = "false";
9140
defparam \ramnrom[1]~65_I .lut_mask = "4000";
9141
defparam \ramnrom[1]~65_I .operation_mode = "normal";
9142
defparam \ramnrom[1]~65_I .output_mode = "comb_only";
9143
defparam \ramnrom[1]~65_I .packed_mode = "false";
9144
// synopsys translate_on
9145
 
9146
// atom is at LC4_A19
9147
flex10ke_lcell \ramnrom[1]~I (
9148
// Equation(s):
9149
// ramnrom[1] = DFFEA(\d[6]~1  # !\a~dataout [11], GLOBAL(\fclk~dataout ), , , \ramnrom[1]~65 , , )
9150
 
9151
	.dataa(\ramnrom[1]~65 ),
9152
	.datab(vcc),
9153
	.datac(a_11),
9154
	.datad(d_6),
9155
	.aclr(gnd),
9156
	.aload(gnd),
9157
	.clk(fclk),
9158
	.cin(gnd),
9159
	.cascin(vcc),
9160
	.devclrn(devclrn),
9161
	.devpor(devpor),
9162
	.combout(),
9163
	.regout(ramnrom[1]),
9164
	.cout(),
9165
	.cascout());
9166
// synopsys translate_off
9167
defparam \ramnrom[1]~I .clock_enable_mode = "true";
9168
defparam \ramnrom[1]~I .lut_mask = "ff0f";
9169
defparam \ramnrom[1]~I .operation_mode = "normal";
9170
defparam \ramnrom[1]~I .output_mode = "reg_only";
9171
defparam \ramnrom[1]~I .packed_mode = "false";
9172
// synopsys translate_on
9173
 
9174
// atom is at LC3_C21
9175
flex10ke_lcell \ramnrom[0]~64_I (
9176
// Equation(s):
9177
// \ramnrom[0]~64  = !\a~dataout [15] & !p7ffd_int_4 & \a~dataout [14] & atmF7_wr_fclk
9178
 
9179
	.dataa(a_15),
9180
	.datab(p7ffd_int_4),
9181
	.datac(a_14),
9182
	.datad(atmF7_wr_fclk),
9183
	.aclr(gnd),
9184
	.aload(gnd),
9185
	.clk(gnd),
9186
	.cin(gnd),
9187
	.cascin(vcc),
9188
	.devclrn(devclrn),
9189
	.devpor(devpor),
9190
	.combout(\ramnrom[0]~64 ),
9191
	.regout(),
9192
	.cout(),
9193
	.cascout());
9194
// synopsys translate_off
9195
defparam \ramnrom[0]~64_I .clock_enable_mode = "false";
9196
defparam \ramnrom[0]~64_I .lut_mask = "1000";
9197
defparam \ramnrom[0]~64_I .operation_mode = "normal";
9198
defparam \ramnrom[0]~64_I .output_mode = "comb_only";
9199
defparam \ramnrom[0]~64_I .packed_mode = "false";
9200
// synopsys translate_on
9201
 
9202
// atom is at LC2_A19
9203
flex10ke_lcell \ramnrom[0]~I (
9204
// Equation(s):
9205
// ramnrom[0] = DFFEA(\d[6]~1  # !\a~dataout [11], GLOBAL(\fclk~dataout ), , , \ramnrom[0]~64 , , )
9206
 
9207
	.dataa(\ramnrom[0]~64 ),
9208
	.datab(vcc),
9209
	.datac(a_11),
9210
	.datad(d_6),
9211
	.aclr(gnd),
9212
	.aload(gnd),
9213
	.clk(fclk),
9214
	.cin(gnd),
9215
	.cascin(vcc),
9216
	.devclrn(devclrn),
9217
	.devpor(devpor),
9218
	.combout(),
9219
	.regout(ramnrom[0]),
9220
	.cout(),
9221
	.cascout());
9222
// synopsys translate_off
9223
defparam \ramnrom[0]~I .clock_enable_mode = "true";
9224
defparam \ramnrom[0]~I .lut_mask = "ff0f";
9225
defparam \ramnrom[0]~I .operation_mode = "normal";
9226
defparam \ramnrom[0]~I .output_mode = "reg_only";
9227
defparam \ramnrom[0]~I .packed_mode = "false";
9228
// synopsys translate_on
9229
 
9230
// atom is at LC5_A16
9231
flex10ke_lcell \dos_7ffd[0]~I (
9232
// Equation(s):
9233
// dos_7ffd[0] = DFFEA(\d[7]~0 , GLOBAL(\fclk~dataout ), , , \dos_7ffd[0]~59 , , )
9234
 
9235
	.dataa(\dos_7ffd[0]~59 ),
9236
	.datab(vcc),
9237
	.datac(vcc),
9238
	.datad(d_7),
9239
	.aclr(gnd),
9240
	.aload(gnd),
9241
	.clk(fclk),
9242
	.cin(gnd),
9243
	.cascin(vcc),
9244
	.devclrn(devclrn),
9245
	.devpor(devpor),
9246
	.combout(),
9247
	.regout(dos_7ffd[0]),
9248
	.cout(),
9249
	.cascout());
9250
// synopsys translate_off
9251
defparam \dos_7ffd[0]~I .clock_enable_mode = "true";
9252
defparam \dos_7ffd[0]~I .lut_mask = "ff00";
9253
defparam \dos_7ffd[0]~I .operation_mode = "normal";
9254
defparam \dos_7ffd[0]~I .output_mode = "reg_only";
9255
defparam \dos_7ffd[0]~I .packed_mode = "false";
9256
// synopsys translate_on
9257
 
9258
// atom is at LC1_A16
9259
flex10ke_lcell \dos_7ffd[1]~I (
9260
// Equation(s):
9261
// dos_7ffd[1] = DFFEA(\d[7]~0 , GLOBAL(\fclk~dataout ), , , \dos_7ffd[1]~58 , , )
9262
 
9263
	.dataa(\dos_7ffd[1]~58 ),
9264
	.datab(vcc),
9265
	.datac(vcc),
9266
	.datad(d_7),
9267
	.aclr(gnd),
9268
	.aload(gnd),
9269
	.clk(fclk),
9270
	.cin(gnd),
9271
	.cascin(vcc),
9272
	.devclrn(devclrn),
9273
	.devpor(devpor),
9274
	.combout(),
9275
	.regout(dos_7ffd[1]),
9276
	.cout(),
9277
	.cascout());
9278
// synopsys translate_off
9279
defparam \dos_7ffd[1]~I .clock_enable_mode = "true";
9280
defparam \dos_7ffd[1]~I .lut_mask = "ff00";
9281
defparam \dos_7ffd[1]~I .operation_mode = "normal";
9282
defparam \dos_7ffd[1]~I .output_mode = "reg_only";
9283
defparam \dos_7ffd[1]~I .packed_mode = "false";
9284
// synopsys translate_on
9285
 
9286
// atom is at LC7_A19
9287
flex10ke_lcell \always0~48_I (
9288
// Equation(s):
9289
// \always0~48  = p7ffd_int_4 & (dos_7ffd[1]) # !p7ffd_int_4 & dos_7ffd[0]
9290
 
9291
	.dataa(vcc),
9292
	.datab(p7ffd_int_4),
9293
	.datac(dos_7ffd[0]),
9294
	.datad(dos_7ffd[1]),
9295
	.aclr(gnd),
9296
	.aload(gnd),
9297
	.clk(gnd),
9298
	.cin(gnd),
9299
	.cascin(vcc),
9300
	.devclrn(devclrn),
9301
	.devpor(devpor),
9302
	.combout(\always0~48 ),
9303
	.regout(),
9304
	.cout(),
9305
	.cascout());
9306
// synopsys translate_off
9307
defparam \always0~48_I .clock_enable_mode = "false";
9308
defparam \always0~48_I .lut_mask = "fc30";
9309
defparam \always0~48_I .operation_mode = "normal";
9310
defparam \always0~48_I .output_mode = "comb_only";
9311
defparam \always0~48_I .packed_mode = "false";
9312
// synopsys translate_on
9313
 
9314
// atom is at LC4_A35
9315
flex10ke_lcell \pages[0][0]~I (
9316
// Equation(s):
9317
// \pages[0][0]  = DFFEA(!\d[0]~7 , GLOBAL(\fclk~dataout ), , , \ramnrom[0]~64 , , )
9318
 
9319
	.dataa(\ramnrom[0]~64 ),
9320
	.datab(vcc),
9321
	.datac(vcc),
9322
	.datad(d_0),
9323
	.aclr(gnd),
9324
	.aload(gnd),
9325
	.clk(fclk),
9326
	.cin(gnd),
9327
	.cascin(vcc),
9328
	.devclrn(devclrn),
9329
	.devpor(devpor),
9330
	.combout(),
9331
	.regout(\pages[0][0] ),
9332
	.cout(),
9333
	.cascout());
9334
// synopsys translate_off
9335
defparam \pages[0][0]~I .clock_enable_mode = "true";
9336
defparam \pages[0][0]~I .lut_mask = "00ff";
9337
defparam \pages[0][0]~I .operation_mode = "normal";
9338
defparam \pages[0][0]~I .output_mode = "reg_only";
9339
defparam \pages[0][0]~I .packed_mode = "false";
9340
// synopsys translate_on
9341
 
9342
// atom is at LC3_A35
9343
flex10ke_lcell \pages[1][0]~I (
9344
// Equation(s):
9345
// \pages[1][0]  = DFFEA(!\d[0]~7 , GLOBAL(\fclk~dataout ), , , \ramnrom[1]~65 , , )
9346
 
9347
	.dataa(\ramnrom[1]~65 ),
9348
	.datab(vcc),
9349
	.datac(vcc),
9350
	.datad(d_0),
9351
	.aclr(gnd),
9352
	.aload(gnd),
9353
	.clk(fclk),
9354
	.cin(gnd),
9355
	.cascin(vcc),
9356
	.devclrn(devclrn),
9357
	.devpor(devpor),
9358
	.combout(),
9359
	.regout(\pages[1][0] ),
9360
	.cout(),
9361
	.cascout());
9362
// synopsys translate_off
9363
defparam \pages[1][0]~I .clock_enable_mode = "true";
9364
defparam \pages[1][0]~I .lut_mask = "00ff";
9365
defparam \pages[1][0]~I .operation_mode = "normal";
9366
defparam \pages[1][0]~I .output_mode = "reg_only";
9367
defparam \pages[1][0]~I .packed_mode = "false";
9368
// synopsys translate_on
9369
 
9370
// atom is at LC1_A35
9371
flex10ke_lcell \page~1633_I (
9372
// Equation(s):
9373
// \page~1633  = p7ffd_int_4 & (\pages[1][0] ) # !p7ffd_int_4 & \pages[0][0] 
9374
 
9375
	.dataa(vcc),
9376
	.datab(p7ffd_int_4),
9377
	.datac(\pages[0][0] ),
9378
	.datad(\pages[1][0] ),
9379
	.aclr(gnd),
9380
	.aload(gnd),
9381
	.clk(gnd),
9382
	.cin(gnd),
9383
	.cascin(vcc),
9384
	.devclrn(devclrn),
9385
	.devpor(devpor),
9386
	.combout(\page~1633 ),
9387
	.regout(),
9388
	.cout(),
9389
	.cascout());
9390
// synopsys translate_off
9391
defparam \page~1633_I .clock_enable_mode = "false";
9392
defparam \page~1633_I .lut_mask = "fc30";
9393
defparam \page~1633_I .operation_mode = "normal";
9394
defparam \page~1633_I .output_mode = "comb_only";
9395
defparam \page~1633_I .packed_mode = "false";
9396
// synopsys translate_on
9397
 
9398
// atom is at LC3_A19
9399
flex10ke_lcell \page~1632_I (
9400
// Equation(s):
9401
// \page~1632  = always0 & (p7ffd_int_0) # !always0 & !dos
9402
 
9403
	.dataa(vcc),
9404
	.datab(dos),
9405
	.datac(always0),
9406
	.datad(p7ffd_int_0),
9407
	.aclr(gnd),
9408
	.aload(gnd),
9409
	.clk(gnd),
9410
	.cin(gnd),
9411
	.cascin(vcc),
9412
	.devclrn(devclrn),
9413
	.devpor(devpor),
9414
	.combout(\page~1632 ),
9415
	.regout(),
9416
	.cout(),
9417
	.cascout());
9418
// synopsys translate_off
9419
defparam \page~1632_I .clock_enable_mode = "false";
9420
defparam \page~1632_I .lut_mask = "f303";
9421
defparam \page~1632_I .operation_mode = "normal";
9422
defparam \page~1632_I .output_mode = "comb_only";
9423
defparam \page~1632_I .packed_mode = "false";
9424
// synopsys translate_on
9425
 
9426
// atom is at LC1_A19
9427
flex10ke_lcell \page~1636_I (
9428
// Equation(s):
9429
// \page~1636  = \always0~48  & (p7ffd_int_4 & (ramnrom[1]) # !p7ffd_int_4 & ramnrom[0])
9430
 
9431
	.dataa(p7ffd_int_4),
9432
	.datab(ramnrom[0]),
9433
	.datac(ramnrom[1]),
9434
	.datad(\always0~48 ),
9435
	.aclr(gnd),
9436
	.aload(gnd),
9437
	.clk(gnd),
9438
	.cin(gnd),
9439
	.cascin(vcc),
9440
	.devclrn(devclrn),
9441
	.devpor(devpor),
9442
	.combout(\page~1636 ),
9443
	.regout(),
9444
	.cout(),
9445
	.cascout());
9446
// synopsys translate_off
9447
defparam \page~1636_I .clock_enable_mode = "false";
9448
defparam \page~1636_I .lut_mask = "e400";
9449
defparam \page~1636_I .operation_mode = "normal";
9450
defparam \page~1636_I .output_mode = "comb_only";
9451
defparam \page~1636_I .packed_mode = "false";
9452
// synopsys translate_on
9453
 
9454
// atom is at LC4_B20
9455
flex10ke_lcell \pages[0][1]~I (
9456
// Equation(s):
9457
// \pages[0][1]  = DFFEA(!\d[1]~6 , GLOBAL(\fclk~dataout ), , , \ramnrom[0]~64 , , )
9458
 
9459
	.dataa(\ramnrom[0]~64 ),
9460
	.datab(vcc),
9461
	.datac(vcc),
9462
	.datad(d_1),
9463
	.aclr(gnd),
9464
	.aload(gnd),
9465
	.clk(fclk),
9466
	.cin(gnd),
9467
	.cascin(vcc),
9468
	.devclrn(devclrn),
9469
	.devpor(devpor),
9470
	.combout(),
9471
	.regout(\pages[0][1] ),
9472
	.cout(),
9473
	.cascout());
9474
// synopsys translate_off
9475
defparam \pages[0][1]~I .clock_enable_mode = "true";
9476
defparam \pages[0][1]~I .lut_mask = "00ff";
9477
defparam \pages[0][1]~I .operation_mode = "normal";
9478
defparam \pages[0][1]~I .output_mode = "reg_only";
9479
defparam \pages[0][1]~I .packed_mode = "false";
9480
// synopsys translate_on
9481
 
9482
// atom is at LC3_B20
9483
flex10ke_lcell \pages[1][1]~I (
9484
// Equation(s):
9485
// \pages[1][1]  = DFFEA(!\d[1]~6 , GLOBAL(\fclk~dataout ), , , \ramnrom[1]~65 , , )
9486
 
9487
	.dataa(\ramnrom[1]~65 ),
9488
	.datab(vcc),
9489
	.datac(vcc),
9490
	.datad(d_1),
9491
	.aclr(gnd),
9492
	.aload(gnd),
9493
	.clk(fclk),
9494
	.cin(gnd),
9495
	.cascin(vcc),
9496
	.devclrn(devclrn),
9497
	.devpor(devpor),
9498
	.combout(),
9499
	.regout(\pages[1][1] ),
9500
	.cout(),
9501
	.cascout());
9502
// synopsys translate_off
9503
defparam \pages[1][1]~I .clock_enable_mode = "true";
9504
defparam \pages[1][1]~I .lut_mask = "00ff";
9505
defparam \pages[1][1]~I .operation_mode = "normal";
9506
defparam \pages[1][1]~I .output_mode = "reg_only";
9507
defparam \pages[1][1]~I .packed_mode = "false";
9508
// synopsys translate_on
9509
 
9510
// atom is at LC1_B20
9511
flex10ke_lcell \page~1635_I (
9512
// Equation(s):
9513
// \page~1635  = p7ffd_int_4 & (\pages[1][1] ) # !p7ffd_int_4 & \pages[0][1] 
9514
 
9515
	.dataa(vcc),
9516
	.datab(p7ffd_int_4),
9517
	.datac(\pages[0][1] ),
9518
	.datad(\pages[1][1] ),
9519
	.aclr(gnd),
9520
	.aload(gnd),
9521
	.clk(gnd),
9522
	.cin(gnd),
9523
	.cascin(vcc),
9524
	.devclrn(devclrn),
9525
	.devpor(devpor),
9526
	.combout(\page~1635 ),
9527
	.regout(),
9528
	.cout(),
9529
	.cascout());
9530
// synopsys translate_off
9531
defparam \page~1635_I .clock_enable_mode = "false";
9532
defparam \page~1635_I .lut_mask = "fc30";
9533
defparam \page~1635_I .operation_mode = "normal";
9534
defparam \page~1635_I .output_mode = "comb_only";
9535
defparam \page~1635_I .packed_mode = "false";
9536
// synopsys translate_on
9537
 
9538
// atom is at LC3_B12
9539
flex10ke_lcell \pages[0][2]~I (
9540
// Equation(s):
9541
// \pages[0][2]  = DFFEA(!\d[2]~5 , GLOBAL(\fclk~dataout ), , , \ramnrom[0]~64 , , )
9542
 
9543
	.dataa(\ramnrom[0]~64 ),
9544
	.datab(vcc),
9545
	.datac(vcc),
9546
	.datad(d_2),
9547
	.aclr(gnd),
9548
	.aload(gnd),
9549
	.clk(fclk),
9550
	.cin(gnd),
9551
	.cascin(vcc),
9552
	.devclrn(devclrn),
9553
	.devpor(devpor),
9554
	.combout(),
9555
	.regout(\pages[0][2] ),
9556
	.cout(),
9557
	.cascout());
9558
// synopsys translate_off
9559
defparam \pages[0][2]~I .clock_enable_mode = "true";
9560
defparam \pages[0][2]~I .lut_mask = "00ff";
9561
defparam \pages[0][2]~I .operation_mode = "normal";
9562
defparam \pages[0][2]~I .output_mode = "reg_only";
9563
defparam \pages[0][2]~I .packed_mode = "false";
9564
// synopsys translate_on
9565
 
9566
// atom is at LC2_B12
9567
flex10ke_lcell \pages[1][2]~I (
9568
// Equation(s):
9569
// \pages[1][2]  = DFFEA(!\d[2]~5 , GLOBAL(\fclk~dataout ), , , \ramnrom[1]~65 , , )
9570
 
9571
	.dataa(\ramnrom[1]~65 ),
9572
	.datab(vcc),
9573
	.datac(vcc),
9574
	.datad(d_2),
9575
	.aclr(gnd),
9576
	.aload(gnd),
9577
	.clk(fclk),
9578
	.cin(gnd),
9579
	.cascin(vcc),
9580
	.devclrn(devclrn),
9581
	.devpor(devpor),
9582
	.combout(),
9583
	.regout(\pages[1][2] ),
9584
	.cout(),
9585
	.cascout());
9586
// synopsys translate_off
9587
defparam \pages[1][2]~I .clock_enable_mode = "true";
9588
defparam \pages[1][2]~I .lut_mask = "00ff";
9589
defparam \pages[1][2]~I .operation_mode = "normal";
9590
defparam \pages[1][2]~I .output_mode = "reg_only";
9591
defparam \pages[1][2]~I .packed_mode = "false";
9592
// synopsys translate_on
9593
 
9594
// atom is at LC1_B12
9595
flex10ke_lcell \page~1638_I (
9596
// Equation(s):
9597
// \page~1638  = p7ffd_int_4 & (\pages[1][2] ) # !p7ffd_int_4 & \pages[0][2] 
9598
 
9599
	.dataa(vcc),
9600
	.datab(p7ffd_int_4),
9601
	.datac(\pages[0][2] ),
9602
	.datad(\pages[1][2] ),
9603
	.aclr(gnd),
9604
	.aload(gnd),
9605
	.clk(gnd),
9606
	.cin(gnd),
9607
	.cascin(vcc),
9608
	.devclrn(devclrn),
9609
	.devpor(devpor),
9610
	.combout(\page~1638 ),
9611
	.regout(),
9612
	.cout(),
9613
	.cascout());
9614
// synopsys translate_off
9615
defparam \page~1638_I .clock_enable_mode = "false";
9616
defparam \page~1638_I .lut_mask = "fc30";
9617
defparam \page~1638_I .operation_mode = "normal";
9618
defparam \page~1638_I .output_mode = "comb_only";
9619
defparam \page~1638_I .packed_mode = "false";
9620
// synopsys translate_on
9621
 
9622
// atom is at LC1_B19
9623
flex10ke_lcell \page~1641_I (
9624
// Equation(s):
9625
// \page~1641  = !peff7_int_2 & \page~1636 
9626
 
9627
	.dataa(vcc),
9628
	.datab(vcc),
9629
	.datac(peff7_int_2),
9630
	.datad(\page~1636 ),
9631
	.aclr(gnd),
9632
	.aload(gnd),
9633
	.clk(gnd),
9634
	.cin(gnd),
9635
	.cascin(vcc),
9636
	.devclrn(devclrn),
9637
	.devpor(devpor),
9638
	.combout(\page~1641 ),
9639
	.regout(),
9640
	.cout(),
9641
	.cascout());
9642
// synopsys translate_off
9643
defparam \page~1641_I .clock_enable_mode = "false";
9644
defparam \page~1641_I .lut_mask = "0f00";
9645
defparam \page~1641_I .operation_mode = "normal";
9646
defparam \page~1641_I .output_mode = "comb_only";
9647
defparam \page~1641_I .packed_mode = "false";
9648
// synopsys translate_on
9649
 
9650
// atom is at LC3_B21
9651
flex10ke_lcell \pages[0][3]~I (
9652
// Equation(s):
9653
// \pages[0][3]  = DFFEA(!\d[3]~4 , GLOBAL(\fclk~dataout ), , , \ramnrom[0]~64 , , )
9654
 
9655
	.dataa(\ramnrom[0]~64 ),
9656
	.datab(vcc),
9657
	.datac(vcc),
9658
	.datad(d_3),
9659
	.aclr(gnd),
9660
	.aload(gnd),
9661
	.clk(fclk),
9662
	.cin(gnd),
9663
	.cascin(vcc),
9664
	.devclrn(devclrn),
9665
	.devpor(devpor),
9666
	.combout(),
9667
	.regout(\pages[0][3] ),
9668
	.cout(),
9669
	.cascout());
9670
// synopsys translate_off
9671
defparam \pages[0][3]~I .clock_enable_mode = "true";
9672
defparam \pages[0][3]~I .lut_mask = "00ff";
9673
defparam \pages[0][3]~I .operation_mode = "normal";
9674
defparam \pages[0][3]~I .output_mode = "reg_only";
9675
defparam \pages[0][3]~I .packed_mode = "false";
9676
// synopsys translate_on
9677
 
9678
// atom is at LC1_B21
9679
flex10ke_lcell \pages[1][3]~I (
9680
// Equation(s):
9681
// \pages[1][3]  = DFFEA(!\d[3]~4 , GLOBAL(\fclk~dataout ), , , \ramnrom[1]~65 , , )
9682
 
9683
	.dataa(\ramnrom[1]~65 ),
9684
	.datab(vcc),
9685
	.datac(vcc),
9686
	.datad(d_3),
9687
	.aclr(gnd),
9688
	.aload(gnd),
9689
	.clk(fclk),
9690
	.cin(gnd),
9691
	.cascin(vcc),
9692
	.devclrn(devclrn),
9693
	.devpor(devpor),
9694
	.combout(),
9695
	.regout(\pages[1][3] ),
9696
	.cout(),
9697
	.cascout());
9698
// synopsys translate_off
9699
defparam \pages[1][3]~I .clock_enable_mode = "true";
9700
defparam \pages[1][3]~I .lut_mask = "00ff";
9701
defparam \pages[1][3]~I .operation_mode = "normal";
9702
defparam \pages[1][3]~I .output_mode = "reg_only";
9703
defparam \pages[1][3]~I .packed_mode = "false";
9704
// synopsys translate_on
9705
 
9706
// atom is at LC8_B21
9707
flex10ke_lcell \page~1640_I (
9708
// Equation(s):
9709
// \page~1640  = p7ffd_int_4 & (\pages[1][3] ) # !p7ffd_int_4 & \pages[0][3] 
9710
 
9711
	.dataa(vcc),
9712
	.datab(p7ffd_int_4),
9713
	.datac(\pages[0][3] ),
9714
	.datad(\pages[1][3] ),
9715
	.aclr(gnd),
9716
	.aload(gnd),
9717
	.clk(gnd),
9718
	.cin(gnd),
9719
	.cascin(vcc),
9720
	.devclrn(devclrn),
9721
	.devpor(devpor),
9722
	.combout(\page~1640 ),
9723
	.regout(),
9724
	.cout(),
9725
	.cascout());
9726
// synopsys translate_off
9727
defparam \page~1640_I .clock_enable_mode = "false";
9728
defparam \page~1640_I .lut_mask = "fc30";
9729
defparam \page~1640_I .operation_mode = "normal";
9730
defparam \page~1640_I .output_mode = "comb_only";
9731
defparam \page~1640_I .packed_mode = "false";
9732
// synopsys translate_on
9733
 
9734
// atom is at LC6_B20
9735
flex10ke_lcell \pages[0][4]~I (
9736
// Equation(s):
9737
// \pages[0][4]  = DFFEA(!\d[4]~3 , GLOBAL(\fclk~dataout ), , , \ramnrom[0]~64 , , )
9738
 
9739
	.dataa(\ramnrom[0]~64 ),
9740
	.datab(vcc),
9741
	.datac(vcc),
9742
	.datad(d_4),
9743
	.aclr(gnd),
9744
	.aload(gnd),
9745
	.clk(fclk),
9746
	.cin(gnd),
9747
	.cascin(vcc),
9748
	.devclrn(devclrn),
9749
	.devpor(devpor),
9750
	.combout(),
9751
	.regout(\pages[0][4] ),
9752
	.cout(),
9753
	.cascout());
9754
// synopsys translate_off
9755
defparam \pages[0][4]~I .clock_enable_mode = "true";
9756
defparam \pages[0][4]~I .lut_mask = "00ff";
9757
defparam \pages[0][4]~I .operation_mode = "normal";
9758
defparam \pages[0][4]~I .output_mode = "reg_only";
9759
defparam \pages[0][4]~I .packed_mode = "false";
9760
// synopsys translate_on
9761
 
9762
// atom is at LC5_B20
9763
flex10ke_lcell \pages[1][4]~I (
9764
// Equation(s):
9765
// \pages[1][4]  = DFFEA(!\d[4]~3 , GLOBAL(\fclk~dataout ), , , \ramnrom[1]~65 , , )
9766
 
9767
	.dataa(\ramnrom[1]~65 ),
9768
	.datab(vcc),
9769
	.datac(vcc),
9770
	.datad(d_4),
9771
	.aclr(gnd),
9772
	.aload(gnd),
9773
	.clk(fclk),
9774
	.cin(gnd),
9775
	.cascin(vcc),
9776
	.devclrn(devclrn),
9777
	.devpor(devpor),
9778
	.combout(),
9779
	.regout(\pages[1][4] ),
9780
	.cout(),
9781
	.cascout());
9782
// synopsys translate_off
9783
defparam \pages[1][4]~I .clock_enable_mode = "true";
9784
defparam \pages[1][4]~I .lut_mask = "00ff";
9785
defparam \pages[1][4]~I .operation_mode = "normal";
9786
defparam \pages[1][4]~I .output_mode = "reg_only";
9787
defparam \pages[1][4]~I .packed_mode = "false";
9788
// synopsys translate_on
9789
 
9790
// atom is at LC2_B20
9791
flex10ke_lcell \page~1643_I (
9792
// Equation(s):
9793
// \page~1643  = p7ffd_int_4 & (\pages[1][4] ) # !p7ffd_int_4 & \pages[0][4] 
9794
 
9795
	.dataa(vcc),
9796
	.datab(p7ffd_int_4),
9797
	.datac(\pages[0][4] ),
9798
	.datad(\pages[1][4] ),
9799
	.aclr(gnd),
9800
	.aload(gnd),
9801
	.clk(gnd),
9802
	.cin(gnd),
9803
	.cascin(vcc),
9804
	.devclrn(devclrn),
9805
	.devpor(devpor),
9806
	.combout(\page~1643 ),
9807
	.regout(),
9808
	.cout(),
9809
	.cascout());
9810
// synopsys translate_off
9811
defparam \page~1643_I .clock_enable_mode = "false";
9812
defparam \page~1643_I .lut_mask = "fc30";
9813
defparam \page~1643_I .operation_mode = "normal";
9814
defparam \page~1643_I .output_mode = "comb_only";
9815
defparam \page~1643_I .packed_mode = "false";
9816
// synopsys translate_on
9817
 
9818
// atom is at LC2_A9
9819
flex10ke_lcell \stall_count[0]~I (
9820
// Equation(s):
9821
// stall_count[0] = DFFEA(dos_exec_stb1 # stall_count[0] $ stall_count_2, GLOBAL(\fclk~dataout ), , , , , )
9822
 
9823
	.dataa(vcc),
9824
	.datab(stall_count[0]),
9825
	.datac(stall_count_2),
9826
	.datad(dos_exec_stb1),
9827
	.aclr(gnd),
9828
	.aload(gnd),
9829
	.clk(fclk),
9830
	.cin(gnd),
9831
	.cascin(vcc),
9832
	.devclrn(devclrn),
9833
	.devpor(devpor),
9834
	.combout(),
9835
	.regout(stall_count[0]),
9836
	.cout(),
9837
	.cascout());
9838
// synopsys translate_off
9839
defparam \stall_count[0]~I .clock_enable_mode = "false";
9840
defparam \stall_count[0]~I .lut_mask = "ff3c";
9841
defparam \stall_count[0]~I .operation_mode = "normal";
9842
defparam \stall_count[0]~I .output_mode = "reg_only";
9843
defparam \stall_count[0]~I .packed_mode = "false";
9844
// synopsys translate_on
9845
 
9846
// atom is at LC3_A9
9847
flex10ke_lcell \stall_count[1]~39_I (
9848
// Equation(s):
9849
// \stall_count[1]~39  = !dos_exec_stb1
9850
 
9851
	.dataa(vcc),
9852
	.datab(vcc),
9853
	.datac(vcc),
9854
	.datad(dos_exec_stb1),
9855
	.aclr(gnd),
9856
	.aload(gnd),
9857
	.clk(gnd),
9858
	.cin(gnd),
9859
	.cascin(vcc),
9860
	.devclrn(devclrn),
9861
	.devpor(devpor),
9862
	.combout(\stall_count[1]~39 ),
9863
	.regout(),
9864
	.cout(),
9865
	.cascout());
9866
// synopsys translate_off
9867
defparam \stall_count[1]~39_I .clock_enable_mode = "false";
9868
defparam \stall_count[1]~39_I .lut_mask = "00ff";
9869
defparam \stall_count[1]~39_I .operation_mode = "normal";
9870
defparam \stall_count[1]~39_I .output_mode = "comb_only";
9871
defparam \stall_count[1]~39_I .packed_mode = "false";
9872
// synopsys translate_on
9873
 
9874
// atom is at LC1_A9
9875
flex10ke_lcell \stall_count[1]~I (
9876
// Equation(s):
9877
// stall_count[1] = DFFEA(stall_count[1] $ (stall_count[0] & stall_count_2), GLOBAL(\fclk~dataout ), , , \stall_count[1]~39 , , )
9878
 
9879
	.dataa(\stall_count[1]~39 ),
9880
	.datab(stall_count[0]),
9881
	.datac(stall_count_2),
9882
	.datad(stall_count[1]),
9883
	.aclr(gnd),
9884
	.aload(gnd),
9885
	.clk(fclk),
9886
	.cin(gnd),
9887
	.cascin(vcc),
9888
	.devclrn(devclrn),
9889
	.devpor(devpor),
9890
	.combout(),
9891
	.regout(stall_count[1]),
9892
	.cout(),
9893
	.cascout());
9894
// synopsys translate_off
9895
defparam \stall_count[1]~I .clock_enable_mode = "true";
9896
defparam \stall_count[1]~I .lut_mask = "3fc0";
9897
defparam \stall_count[1]~I .operation_mode = "normal";
9898
defparam \stall_count[1]~I .output_mode = "reg_only";
9899
defparam \stall_count[1]~I .packed_mode = "false";
9900
// synopsys translate_on
9901
 
9902
// atom is at LC5_B21
9903
flex10ke_lcell \pages[0][5]~I (
9904
// Equation(s):
9905
// \pages[0][5]  = DFFEA(!\d[5]~2 , GLOBAL(\fclk~dataout ), , , \ramnrom[0]~64 , , )
9906
 
9907
	.dataa(\ramnrom[0]~64 ),
9908
	.datab(vcc),
9909
	.datac(vcc),
9910
	.datad(d_5),
9911
	.aclr(gnd),
9912
	.aload(gnd),
9913
	.clk(fclk),
9914
	.cin(gnd),
9915
	.cascin(vcc),
9916
	.devclrn(devclrn),
9917
	.devpor(devpor),
9918
	.combout(),
9919
	.regout(\pages[0][5] ),
9920
	.cout(),
9921
	.cascout());
9922
// synopsys translate_off
9923
defparam \pages[0][5]~I .clock_enable_mode = "true";
9924
defparam \pages[0][5]~I .lut_mask = "00ff";
9925
defparam \pages[0][5]~I .operation_mode = "normal";
9926
defparam \pages[0][5]~I .output_mode = "reg_only";
9927
defparam \pages[0][5]~I .packed_mode = "false";
9928
// synopsys translate_on
9929
 
9930
// atom is at LC4_B21
9931
flex10ke_lcell \pages[1][5]~I (
9932
// Equation(s):
9933
// \pages[1][5]  = DFFEA(!\d[5]~2 , GLOBAL(\fclk~dataout ), , , \ramnrom[1]~65 , , )
9934
 
9935
	.dataa(\ramnrom[1]~65 ),
9936
	.datab(vcc),
9937
	.datac(vcc),
9938
	.datad(d_5),
9939
	.aclr(gnd),
9940
	.aload(gnd),
9941
	.clk(fclk),
9942
	.cin(gnd),
9943
	.cascin(vcc),
9944
	.devclrn(devclrn),
9945
	.devpor(devpor),
9946
	.combout(),
9947
	.regout(\pages[1][5] ),
9948
	.cout(),
9949
	.cascout());
9950
// synopsys translate_off
9951
defparam \pages[1][5]~I .clock_enable_mode = "true";
9952
defparam \pages[1][5]~I .lut_mask = "00ff";
9953
defparam \pages[1][5]~I .operation_mode = "normal";
9954
defparam \pages[1][5]~I .output_mode = "reg_only";
9955
defparam \pages[1][5]~I .packed_mode = "false";
9956
// synopsys translate_on
9957
 
9958
// atom is at LC2_B21
9959
flex10ke_lcell \page~1645_I (
9960
// Equation(s):
9961
// \page~1645  = p7ffd_int_4 & (\pages[1][5] ) # !p7ffd_int_4 & \pages[0][5] 
9962
 
9963
	.dataa(vcc),
9964
	.datab(p7ffd_int_4),
9965
	.datac(\pages[0][5] ),
9966
	.datad(\pages[1][5] ),
9967
	.aclr(gnd),
9968
	.aload(gnd),
9969
	.clk(gnd),
9970
	.cin(gnd),
9971
	.cascin(vcc),
9972
	.devclrn(devclrn),
9973
	.devpor(devpor),
9974
	.combout(\page~1645 ),
9975
	.regout(),
9976
	.cout(),
9977
	.cascout());
9978
// synopsys translate_off
9979
defparam \page~1645_I .clock_enable_mode = "false";
9980
defparam \page~1645_I .lut_mask = "fc30";
9981
defparam \page~1645_I .operation_mode = "normal";
9982
defparam \page~1645_I .output_mode = "comb_only";
9983
defparam \page~1645_I .packed_mode = "false";
9984
// synopsys translate_on
9985
 
9986
// atom is at LC4_D23
9987
flex10ke_lcell \pages[0][6]~I (
9988
// Equation(s):
9989
// \pages[0][6]  = DFFEA(!\d[6]~1  & !\a~dataout [11], GLOBAL(\fclk~dataout ), , , \ramnrom[0]~64 , , )
9990
 
9991
	.dataa(\ramnrom[0]~64 ),
9992
	.datab(vcc),
9993
	.datac(d_6),
9994
	.datad(a_11),
9995
	.aclr(gnd),
9996
	.aload(gnd),
9997
	.clk(fclk),
9998
	.cin(gnd),
9999
	.cascin(vcc),
10000
	.devclrn(devclrn),
10001
	.devpor(devpor),
10002
	.combout(),
10003
	.regout(\pages[0][6] ),
10004
	.cout(),
10005
	.cascout());
10006
// synopsys translate_off
10007
defparam \pages[0][6]~I .clock_enable_mode = "true";
10008
defparam \pages[0][6]~I .lut_mask = "000f";
10009
defparam \pages[0][6]~I .operation_mode = "normal";
10010
defparam \pages[0][6]~I .output_mode = "reg_only";
10011
defparam \pages[0][6]~I .packed_mode = "false";
10012
// synopsys translate_on
10013
 
10014
// atom is at LC3_D23
10015
flex10ke_lcell \pages[1][6]~I (
10016
// Equation(s):
10017
// \pages[1][6]  = DFFEA(!\d[6]~1  & !\a~dataout [11], GLOBAL(\fclk~dataout ), , , \ramnrom[1]~65 , , )
10018
 
10019
	.dataa(\ramnrom[1]~65 ),
10020
	.datab(vcc),
10021
	.datac(d_6),
10022
	.datad(a_11),
10023
	.aclr(gnd),
10024
	.aload(gnd),
10025
	.clk(fclk),
10026
	.cin(gnd),
10027
	.cascin(vcc),
10028
	.devclrn(devclrn),
10029
	.devpor(devpor),
10030
	.combout(),
10031
	.regout(\pages[1][6] ),
10032
	.cout(),
10033
	.cascout());
10034
// synopsys translate_off
10035
defparam \pages[1][6]~I .clock_enable_mode = "true";
10036
defparam \pages[1][6]~I .lut_mask = "000f";
10037
defparam \pages[1][6]~I .operation_mode = "normal";
10038
defparam \pages[1][6]~I .output_mode = "reg_only";
10039
defparam \pages[1][6]~I .packed_mode = "false";
10040
// synopsys translate_on
10041
 
10042
// atom is at LC6_D23
10043
flex10ke_lcell \pages[0][7]~I (
10044
// Equation(s):
10045
// \pages[0][7]  = DFFEA(!\d[7]~0  & !\a~dataout [11], GLOBAL(\fclk~dataout ), , , \ramnrom[0]~64 , , )
10046
 
10047
	.dataa(\ramnrom[0]~64 ),
10048
	.datab(vcc),
10049
	.datac(d_7),
10050
	.datad(a_11),
10051
	.aclr(gnd),
10052
	.aload(gnd),
10053
	.clk(fclk),
10054
	.cin(gnd),
10055
	.cascin(vcc),
10056
	.devclrn(devclrn),
10057
	.devpor(devpor),
10058
	.combout(),
10059
	.regout(\pages[0][7] ),
10060
	.cout(),
10061
	.cascout());
10062
// synopsys translate_off
10063
defparam \pages[0][7]~I .clock_enable_mode = "true";
10064
defparam \pages[0][7]~I .lut_mask = "000f";
10065
defparam \pages[0][7]~I .operation_mode = "normal";
10066
defparam \pages[0][7]~I .output_mode = "reg_only";
10067
defparam \pages[0][7]~I .packed_mode = "false";
10068
// synopsys translate_on
10069
 
10070
// atom is at LC5_D23
10071
flex10ke_lcell \pages[1][7]~I (
10072
// Equation(s):
10073
// \pages[1][7]  = DFFEA(!\d[7]~0  & !\a~dataout [11], GLOBAL(\fclk~dataout ), , , \ramnrom[1]~65 , , )
10074
 
10075
	.dataa(\ramnrom[1]~65 ),
10076
	.datab(vcc),
10077
	.datac(d_7),
10078
	.datad(a_11),
10079
	.aclr(gnd),
10080
	.aload(gnd),
10081
	.clk(fclk),
10082
	.cin(gnd),
10083
	.cascin(vcc),
10084
	.devclrn(devclrn),
10085
	.devpor(devpor),
10086
	.combout(),
10087
	.regout(\pages[1][7] ),
10088
	.cout(),
10089
	.cascout());
10090
// synopsys translate_off
10091
defparam \pages[1][7]~I .clock_enable_mode = "true";
10092
defparam \pages[1][7]~I .lut_mask = "000f";
10093
defparam \pages[1][7]~I .operation_mode = "normal";
10094
defparam \pages[1][7]~I .output_mode = "reg_only";
10095
defparam \pages[1][7]~I .packed_mode = "false";
10096
// synopsys translate_on
10097
 
10098
endmodule
10099
 
10100
module atm_pager_2 (
10101
	romnram,
10102
	page_0,
10103
	page_1,
10104
	page_2,
10105
	page_3,
10106
	page_4,
10107
	dos,
10108
	p7ffd_int_4,
10109
	atm_pen,
10110
	p7ffd_int_0,
10111
	always0,
10112
	p7ffd_int_1,
10113
	p7ffd_int_2,
10114
	p7ffd_int_5,
10115
	peff7_int_2,
10116
	p7ffd_int_6,
10117
	stall_count_2,
10118
	atmF7_wr_fclk,
10119
	dos_7ffd_0,
10120
	dos_exec_stb,
10121
	ramnrom_0,
10122
	dos_exec_stb1,
10123
	page_5,
10124
	page_6,
10125
	page_7,
10126
	p7ffd_int_7,
10127
	a_14,
10128
	a_15,
10129
	fclk,
10130
	a_11,
10131
	d_0,
10132
	d_1,
10133
	d_2,
10134
	d_3,
10135
	d_4,
10136
	d_5,
10137
	d_6,
10138
	d_7,
10139
	devpor,
10140
	devclrn,
10141
	devoe);
10142
output 	romnram;
10143
output 	page_0;
10144
output 	page_1;
10145
output 	page_2;
10146
output 	page_3;
10147
output 	page_4;
10148
input 	dos;
10149
input 	p7ffd_int_4;
10150
input 	atm_pen;
10151
input 	p7ffd_int_0;
10152
output 	always0;
10153
input 	p7ffd_int_1;
10154
input 	p7ffd_int_2;
10155
input 	p7ffd_int_5;
10156
input 	peff7_int_2;
10157
input 	p7ffd_int_6;
10158
output 	stall_count_2;
10159
input 	atmF7_wr_fclk;
10160
input 	dos_7ffd_0;
10161
input 	dos_exec_stb;
10162
output 	ramnrom_0;
10163
output 	dos_exec_stb1;
10164
output 	page_5;
10165
output 	page_6;
10166
output 	page_7;
10167
input 	p7ffd_int_7;
10168
input 	a_14;
10169
input 	a_15;
10170
input 	fclk;
10171
input 	a_11;
10172
input 	d_0;
10173
input 	d_1;
10174
input 	d_2;
10175
input 	d_3;
10176
input 	d_4;
10177
input 	d_5;
10178
input 	d_6;
10179
input 	d_7;
10180
input 	devpor;
10181
input 	devclrn;
10182
input 	devoe;
10183
 
10184
wire gnd = 1'b0;
10185
wire vcc = 1'b1;
10186
 
10187
wire \dos_7ffd[1]~58 ;
10188
wire \dos_7ffd[0]~59 ;
10189
wire \ramnrom[1]~65 ;
10190
wire \ramnrom[0]~64 ;
10191
wire \always0~48 ;
10192
wire \pages[0][0] ;
10193
wire \pages[1][0] ;
10194
wire \page~1615 ;
10195
wire \page~1614 ;
10196
wire \page~1618 ;
10197
wire \pages[0][1] ;
10198
wire \pages[1][1] ;
10199
wire \page~1617 ;
10200
wire \pages[0][2] ;
10201
wire \pages[1][2] ;
10202
wire \page~1620 ;
10203
wire \page~1623 ;
10204
wire \pages[0][3] ;
10205
wire \pages[1][3] ;
10206
wire \page~1622 ;
10207
wire \pages[0][4] ;
10208
wire \pages[1][4] ;
10209
wire \page~1625 ;
10210
wire \stall_count[1]~39 ;
10211
wire \pages[0][5] ;
10212
wire \pages[1][5] ;
10213
wire \page~1627 ;
10214
wire \pages[0][6] ;
10215
wire \pages[1][6] ;
10216
wire \pages[0][7] ;
10217
wire \pages[1][7] ;
10218
wire [1:0] dos_7ffd;
10219
wire [1:0] ramnrom;
10220
wire [2:0] stall_count;
10221
 
10222
 
10223
// atom is at LC1_A14
10224
flex10ke_lcell \dos_7ffd[1]~58_I (
10225
// Equation(s):
10226
// \dos_7ffd[1]~58  = !\a~dataout [14] & dos_7ffd_0 & p7ffd_int_4 & \a~dataout [15]
10227
 
10228
	.dataa(a_14),
10229
	.datab(dos_7ffd_0),
10230
	.datac(p7ffd_int_4),
10231
	.datad(a_15),
10232
	.aclr(gnd),
10233
	.aload(gnd),
10234
	.clk(gnd),
10235
	.cin(gnd),
10236
	.cascin(vcc),
10237
	.devclrn(devclrn),
10238
	.devpor(devpor),
10239
	.combout(\dos_7ffd[1]~58 ),
10240
	.regout(),
10241
	.cout(),
10242
	.cascout());
10243
// synopsys translate_off
10244
defparam \dos_7ffd[1]~58_I .clock_enable_mode = "false";
10245
defparam \dos_7ffd[1]~58_I .lut_mask = "4000";
10246
defparam \dos_7ffd[1]~58_I .operation_mode = "normal";
10247
defparam \dos_7ffd[1]~58_I .output_mode = "comb_only";
10248
defparam \dos_7ffd[1]~58_I .packed_mode = "false";
10249
// synopsys translate_on
10250
 
10251
// atom is at LC4_A16
10252
flex10ke_lcell \dos_7ffd[0]~59_I (
10253
// Equation(s):
10254
// \dos_7ffd[0]~59  = !\a~dataout [14] & !p7ffd_int_4 & \a~dataout [15] & dos_7ffd_0
10255
 
10256
	.dataa(a_14),
10257
	.datab(p7ffd_int_4),
10258
	.datac(a_15),
10259
	.datad(dos_7ffd_0),
10260
	.aclr(gnd),
10261
	.aload(gnd),
10262
	.clk(gnd),
10263
	.cin(gnd),
10264
	.cascin(vcc),
10265
	.devclrn(devclrn),
10266
	.devpor(devpor),
10267
	.combout(\dos_7ffd[0]~59 ),
10268
	.regout(),
10269
	.cout(),
10270
	.cascout());
10271
// synopsys translate_off
10272
defparam \dos_7ffd[0]~59_I .clock_enable_mode = "false";
10273
defparam \dos_7ffd[0]~59_I .lut_mask = "1000";
10274
defparam \dos_7ffd[0]~59_I .operation_mode = "normal";
10275
defparam \dos_7ffd[0]~59_I .output_mode = "comb_only";
10276
defparam \dos_7ffd[0]~59_I .packed_mode = "false";
10277
// synopsys translate_on
10278
 
10279
// atom is at LC2_A13
10280
flex10ke_lcell \romnram~I (
10281
// Equation(s):
10282
// romnram = DFFEA(p7ffd_int_4 & !ramnrom[1] # !p7ffd_int_4 & (!ramnrom[0]) # !atm_pen, GLOBAL(\fclk~dataout ), , , , , )
10283
 
10284
	.dataa(atm_pen),
10285
	.datab(ramnrom[1]),
10286
	.datac(ramnrom[0]),
10287
	.datad(p7ffd_int_4),
10288
	.aclr(gnd),
10289
	.aload(gnd),
10290
	.clk(fclk),
10291
	.cin(gnd),
10292
	.cascin(vcc),
10293
	.devclrn(devclrn),
10294
	.devpor(devpor),
10295
	.combout(),
10296
	.regout(romnram),
10297
	.cout(),
10298
	.cascout());
10299
// synopsys translate_off
10300
defparam \romnram~I .clock_enable_mode = "false";
10301
defparam \romnram~I .lut_mask = "775f";
10302
defparam \romnram~I .operation_mode = "normal";
10303
defparam \romnram~I .output_mode = "reg_only";
10304
defparam \romnram~I .packed_mode = "false";
10305
// synopsys translate_on
10306
 
10307
// atom is at LC3_A13
10308
flex10ke_lcell \page[0]~I (
10309
// Equation(s):
10310
// page_0 = DFFEA(\always0~48  & (\page~1614 ) # !\always0~48  & \page~1615  # !atm_pen, GLOBAL(\fclk~dataout ), , , , , )
10311
 
10312
	.dataa(atm_pen),
10313
	.datab(\always0~48 ),
10314
	.datac(\page~1615 ),
10315
	.datad(\page~1614 ),
10316
	.aclr(gnd),
10317
	.aload(gnd),
10318
	.clk(fclk),
10319
	.cin(gnd),
10320
	.cascin(vcc),
10321
	.devclrn(devclrn),
10322
	.devpor(devpor),
10323
	.combout(),
10324
	.regout(page_0),
10325
	.cout(),
10326
	.cascout());
10327
// synopsys translate_off
10328
defparam \page[0]~I .clock_enable_mode = "false";
10329
defparam \page[0]~I .lut_mask = "fd75";
10330
defparam \page[0]~I .operation_mode = "normal";
10331
defparam \page[0]~I .output_mode = "reg_only";
10332
defparam \page[0]~I .packed_mode = "false";
10333
// synopsys translate_on
10334
 
10335
// atom is at LC5_B4
10336
flex10ke_lcell \page[1]~I (
10337
// Equation(s):
10338
// page_1 = DFFEA(\page~1618  & (p7ffd_int_1) # !\page~1618  & \page~1617  # !atm_pen, GLOBAL(\fclk~dataout ), , , , , )
10339
 
10340
	.dataa(atm_pen),
10341
	.datab(\page~1618 ),
10342
	.datac(\page~1617 ),
10343
	.datad(p7ffd_int_1),
10344
	.aclr(gnd),
10345
	.aload(gnd),
10346
	.clk(fclk),
10347
	.cin(gnd),
10348
	.cascin(vcc),
10349
	.devclrn(devclrn),
10350
	.devpor(devpor),
10351
	.combout(),
10352
	.regout(page_1),
10353
	.cout(),
10354
	.cascout());
10355
// synopsys translate_off
10356
defparam \page[1]~I .clock_enable_mode = "false";
10357
defparam \page[1]~I .lut_mask = "fd75";
10358
defparam \page[1]~I .operation_mode = "normal";
10359
defparam \page[1]~I .output_mode = "reg_only";
10360
defparam \page[1]~I .packed_mode = "false";
10361
// synopsys translate_on
10362
 
10363
// atom is at LC6_B4
10364
flex10ke_lcell \page[2]~I (
10365
// Equation(s):
10366
// page_2 = DFFEA(\page~1618  & (p7ffd_int_2) # !\page~1618  & \page~1620  # !atm_pen, GLOBAL(\fclk~dataout ), , , , , )
10367
 
10368
	.dataa(atm_pen),
10369
	.datab(\page~1618 ),
10370
	.datac(\page~1620 ),
10371
	.datad(p7ffd_int_2),
10372
	.aclr(gnd),
10373
	.aload(gnd),
10374
	.clk(fclk),
10375
	.cin(gnd),
10376
	.cascin(vcc),
10377
	.devclrn(devclrn),
10378
	.devpor(devpor),
10379
	.combout(),
10380
	.regout(page_2),
10381
	.cout(),
10382
	.cascout());
10383
// synopsys translate_off
10384
defparam \page[2]~I .clock_enable_mode = "false";
10385
defparam \page[2]~I .lut_mask = "fd75";
10386
defparam \page[2]~I .operation_mode = "normal";
10387
defparam \page[2]~I .output_mode = "reg_only";
10388
defparam \page[2]~I .packed_mode = "false";
10389
// synopsys translate_on
10390
 
10391
// atom is at LC6_B19
10392
flex10ke_lcell \page[3]~I (
10393
// Equation(s):
10394
// page_3 = DFFEA(\page~1623  & (p7ffd_int_5) # !\page~1623  & \page~1622  # !atm_pen, GLOBAL(\fclk~dataout ), , , , , )
10395
 
10396
	.dataa(atm_pen),
10397
	.datab(\page~1623 ),
10398
	.datac(\page~1622 ),
10399
	.datad(p7ffd_int_5),
10400
	.aclr(gnd),
10401
	.aload(gnd),
10402
	.clk(fclk),
10403
	.cin(gnd),
10404
	.cascin(vcc),
10405
	.devclrn(devclrn),
10406
	.devpor(devpor),
10407
	.combout(),
10408
	.regout(page_3),
10409
	.cout(),
10410
	.cascout());
10411
// synopsys translate_off
10412
defparam \page[3]~I .clock_enable_mode = "false";
10413
defparam \page[3]~I .lut_mask = "fd75";
10414
defparam \page[3]~I .operation_mode = "normal";
10415
defparam \page[3]~I .output_mode = "reg_only";
10416
defparam \page[3]~I .packed_mode = "false";
10417
// synopsys translate_on
10418
 
10419
// atom is at LC3_B19
10420
flex10ke_lcell \page[4]~I (
10421
// Equation(s):
10422
// page_4 = DFFEA(\page~1623  & (p7ffd_int_6) # !\page~1623  & \page~1625  # !atm_pen, GLOBAL(\fclk~dataout ), , , , , )
10423
 
10424
	.dataa(atm_pen),
10425
	.datab(\page~1623 ),
10426
	.datac(\page~1625 ),
10427
	.datad(p7ffd_int_6),
10428
	.aclr(gnd),
10429
	.aload(gnd),
10430
	.clk(fclk),
10431
	.cin(gnd),
10432
	.cascin(vcc),
10433
	.devclrn(devclrn),
10434
	.devpor(devpor),
10435
	.combout(),
10436
	.regout(page_4),
10437
	.cout(),
10438
	.cascout());
10439
// synopsys translate_off
10440
defparam \page[4]~I .clock_enable_mode = "false";
10441
defparam \page[4]~I .lut_mask = "fd75";
10442
defparam \page[4]~I .operation_mode = "normal";
10443
defparam \page[4]~I .output_mode = "reg_only";
10444
defparam \page[4]~I .packed_mode = "false";
10445
// synopsys translate_on
10446
 
10447
// atom is at LC6_A13
10448
flex10ke_lcell \always0~47_I (
10449
// Equation(s):
10450
// always0 = p7ffd_int_4 & (ramnrom[1]) # !p7ffd_int_4 & ramnrom[0]
10451
 
10452
	.dataa(vcc),
10453
	.datab(p7ffd_int_4),
10454
	.datac(ramnrom[0]),
10455
	.datad(ramnrom[1]),
10456
	.aclr(gnd),
10457
	.aload(gnd),
10458
	.clk(gnd),
10459
	.cin(gnd),
10460
	.cascin(vcc),
10461
	.devclrn(devclrn),
10462
	.devpor(devpor),
10463
	.combout(always0),
10464
	.regout(),
10465
	.cout(),
10466
	.cascout());
10467
// synopsys translate_off
10468
defparam \always0~47_I .clock_enable_mode = "false";
10469
defparam \always0~47_I .lut_mask = "fc30";
10470
defparam \always0~47_I .operation_mode = "normal";
10471
defparam \always0~47_I .output_mode = "comb_only";
10472
defparam \always0~47_I .packed_mode = "false";
10473
// synopsys translate_on
10474
 
10475
// atom is at LC1_A8
10476
flex10ke_lcell \stall_count[2]~I (
10477
// Equation(s):
10478
// stall_count_2 = DFFEA(dos_exec_stb1 # stall_count_2 & (!stall_count[1] # !stall_count[0]), GLOBAL(\fclk~dataout ), , , , , )
10479
 
10480
	.dataa(stall_count[0]),
10481
	.datab(stall_count[1]),
10482
	.datac(stall_count_2),
10483
	.datad(dos_exec_stb1),
10484
	.aclr(gnd),
10485
	.aload(gnd),
10486
	.clk(fclk),
10487
	.cin(gnd),
10488
	.cascin(vcc),
10489
	.devclrn(devclrn),
10490
	.devpor(devpor),
10491
	.combout(),
10492
	.regout(stall_count_2),
10493
	.cout(),
10494
	.cascout());
10495
// synopsys translate_off
10496
defparam \stall_count[2]~I .clock_enable_mode = "false";
10497
defparam \stall_count[2]~I .lut_mask = "ff70";
10498
defparam \stall_count[2]~I .operation_mode = "normal";
10499
defparam \stall_count[2]~I .output_mode = "reg_only";
10500
defparam \stall_count[2]~I .packed_mode = "false";
10501
// synopsys translate_on
10502
 
10503
// atom is at LC7_A7
10504
flex10ke_lcell \ramnrom[0]~66_I (
10505
// Equation(s):
10506
// ramnrom_0 = !\a~dataout [14] & \a~dataout [15]
10507
 
10508
	.dataa(vcc),
10509
	.datab(vcc),
10510
	.datac(a_14),
10511
	.datad(a_15),
10512
	.aclr(gnd),
10513
	.aload(gnd),
10514
	.clk(gnd),
10515
	.cin(gnd),
10516
	.cascin(vcc),
10517
	.devclrn(devclrn),
10518
	.devpor(devpor),
10519
	.combout(ramnrom_0),
10520
	.regout(),
10521
	.cout(),
10522
	.cascout());
10523
// synopsys translate_off
10524
defparam \ramnrom[0]~66_I .clock_enable_mode = "false";
10525
defparam \ramnrom[0]~66_I .lut_mask = "0f00";
10526
defparam \ramnrom[0]~66_I .operation_mode = "normal";
10527
defparam \ramnrom[0]~66_I .output_mode = "comb_only";
10528
defparam \ramnrom[0]~66_I .packed_mode = "false";
10529
// synopsys translate_on
10530
 
10531
// atom is at LC4_A2
10532
flex10ke_lcell \dos_exec_stb~67_I (
10533
// Equation(s):
10534
// dos_exec_stb1 = !ramnrom[1] & dos_7ffd[1] & ramnrom_0 & dos_exec_stb
10535
 
10536
	.dataa(ramnrom[1]),
10537
	.datab(dos_7ffd[1]),
10538
	.datac(ramnrom_0),
10539
	.datad(dos_exec_stb),
10540
	.aclr(gnd),
10541
	.aload(gnd),
10542
	.clk(gnd),
10543
	.cin(gnd),
10544
	.cascin(vcc),
10545
	.devclrn(devclrn),
10546
	.devpor(devpor),
10547
	.combout(dos_exec_stb1),
10548
	.regout(),
10549
	.cout(),
10550
	.cascout());
10551
// synopsys translate_off
10552
defparam \dos_exec_stb~67_I .clock_enable_mode = "false";
10553
defparam \dos_exec_stb~67_I .lut_mask = "4000";
10554
defparam \dos_exec_stb~67_I .operation_mode = "normal";
10555
defparam \dos_exec_stb~67_I .output_mode = "comb_only";
10556
defparam \dos_exec_stb~67_I .packed_mode = "false";
10557
// synopsys translate_on
10558
 
10559
// atom is at LC4_B19
10560
flex10ke_lcell \page[5]~I (
10561
// Equation(s):
10562
// page_5 = DFFEA(\page~1623  & (p7ffd_int_7) # !\page~1623  & \page~1627  # !atm_pen, GLOBAL(\fclk~dataout ), , , , , )
10563
 
10564
	.dataa(atm_pen),
10565
	.datab(\page~1623 ),
10566
	.datac(\page~1627 ),
10567
	.datad(p7ffd_int_7),
10568
	.aclr(gnd),
10569
	.aload(gnd),
10570
	.clk(fclk),
10571
	.cin(gnd),
10572
	.cascin(vcc),
10573
	.devclrn(devclrn),
10574
	.devpor(devpor),
10575
	.combout(),
10576
	.regout(page_5),
10577
	.cout(),
10578
	.cascout());
10579
// synopsys translate_off
10580
defparam \page[5]~I .clock_enable_mode = "false";
10581
defparam \page[5]~I .lut_mask = "fd75";
10582
defparam \page[5]~I .operation_mode = "normal";
10583
defparam \page[5]~I .output_mode = "reg_only";
10584
defparam \page[5]~I .packed_mode = "false";
10585
// synopsys translate_on
10586
 
10587
// atom is at LC6_A27
10588
flex10ke_lcell \page[6]~I (
10589
// Equation(s):
10590
// page_6 = DFFEA(p7ffd_int_4 & (\pages[1][6] ) # !p7ffd_int_4 & \pages[0][6]  # !atm_pen, GLOBAL(\fclk~dataout ), , , , , )
10591
 
10592
	.dataa(atm_pen),
10593
	.datab(p7ffd_int_4),
10594
	.datac(\pages[0][6] ),
10595
	.datad(\pages[1][6] ),
10596
	.aclr(gnd),
10597
	.aload(gnd),
10598
	.clk(fclk),
10599
	.cin(gnd),
10600
	.cascin(vcc),
10601
	.devclrn(devclrn),
10602
	.devpor(devpor),
10603
	.combout(),
10604
	.regout(page_6),
10605
	.cout(),
10606
	.cascout());
10607
// synopsys translate_off
10608
defparam \page[6]~I .clock_enable_mode = "false";
10609
defparam \page[6]~I .lut_mask = "fd75";
10610
defparam \page[6]~I .operation_mode = "normal";
10611
defparam \page[6]~I .output_mode = "reg_only";
10612
defparam \page[6]~I .packed_mode = "false";
10613
// synopsys translate_on
10614
 
10615
// atom is at LC4_A27
10616
flex10ke_lcell \page[7]~I (
10617
// Equation(s):
10618
// page_7 = DFFEA(p7ffd_int_4 & (\pages[1][7] ) # !p7ffd_int_4 & \pages[0][7]  # !atm_pen, GLOBAL(\fclk~dataout ), , , , , )
10619
 
10620
	.dataa(atm_pen),
10621
	.datab(p7ffd_int_4),
10622
	.datac(\pages[0][7] ),
10623
	.datad(\pages[1][7] ),
10624
	.aclr(gnd),
10625
	.aload(gnd),
10626
	.clk(fclk),
10627
	.cin(gnd),
10628
	.cascin(vcc),
10629
	.devclrn(devclrn),
10630
	.devpor(devpor),
10631
	.combout(),
10632
	.regout(page_7),
10633
	.cout(),
10634
	.cascout());
10635
// synopsys translate_off
10636
defparam \page[7]~I .clock_enable_mode = "false";
10637
defparam \page[7]~I .lut_mask = "fd75";
10638
defparam \page[7]~I .operation_mode = "normal";
10639
defparam \page[7]~I .output_mode = "reg_only";
10640
defparam \page[7]~I .packed_mode = "false";
10641
// synopsys translate_on
10642
 
10643
// atom is at LC5_C21
10644
flex10ke_lcell \ramnrom[1]~65_I (
10645
// Equation(s):
10646
// \ramnrom[1]~65  = !\a~dataout [14] & p7ffd_int_4 & \a~dataout [15] & atmF7_wr_fclk
10647
 
10648
	.dataa(a_14),
10649
	.datab(p7ffd_int_4),
10650
	.datac(a_15),
10651
	.datad(atmF7_wr_fclk),
10652
	.aclr(gnd),
10653
	.aload(gnd),
10654
	.clk(gnd),
10655
	.cin(gnd),
10656
	.cascin(vcc),
10657
	.devclrn(devclrn),
10658
	.devpor(devpor),
10659
	.combout(\ramnrom[1]~65 ),
10660
	.regout(),
10661
	.cout(),
10662
	.cascout());
10663
// synopsys translate_off
10664
defparam \ramnrom[1]~65_I .clock_enable_mode = "false";
10665
defparam \ramnrom[1]~65_I .lut_mask = "4000";
10666
defparam \ramnrom[1]~65_I .operation_mode = "normal";
10667
defparam \ramnrom[1]~65_I .output_mode = "comb_only";
10668
defparam \ramnrom[1]~65_I .packed_mode = "false";
10669
// synopsys translate_on
10670
 
10671
// atom is at LC4_A25
10672
flex10ke_lcell \ramnrom[1]~I (
10673
// Equation(s):
10674
// ramnrom[1] = DFFEA(\d[6]~1  # !\a~dataout [11], GLOBAL(\fclk~dataout ), , , \ramnrom[1]~65 , , )
10675
 
10676
	.dataa(\ramnrom[1]~65 ),
10677
	.datab(vcc),
10678
	.datac(a_11),
10679
	.datad(d_6),
10680
	.aclr(gnd),
10681
	.aload(gnd),
10682
	.clk(fclk),
10683
	.cin(gnd),
10684
	.cascin(vcc),
10685
	.devclrn(devclrn),
10686
	.devpor(devpor),
10687
	.combout(),
10688
	.regout(ramnrom[1]),
10689
	.cout(),
10690
	.cascout());
10691
// synopsys translate_off
10692
defparam \ramnrom[1]~I .clock_enable_mode = "true";
10693
defparam \ramnrom[1]~I .lut_mask = "ff0f";
10694
defparam \ramnrom[1]~I .operation_mode = "normal";
10695
defparam \ramnrom[1]~I .output_mode = "reg_only";
10696
defparam \ramnrom[1]~I .packed_mode = "false";
10697
// synopsys translate_on
10698
 
10699
// atom is at LC1_C22
10700
flex10ke_lcell \ramnrom[0]~64_I (
10701
// Equation(s):
10702
// \ramnrom[0]~64  = !\a~dataout [14] & !p7ffd_int_4 & \a~dataout [15] & atmF7_wr_fclk
10703
 
10704
	.dataa(a_14),
10705
	.datab(p7ffd_int_4),
10706
	.datac(a_15),
10707
	.datad(atmF7_wr_fclk),
10708
	.aclr(gnd),
10709
	.aload(gnd),
10710
	.clk(gnd),
10711
	.cin(gnd),
10712
	.cascin(vcc),
10713
	.devclrn(devclrn),
10714
	.devpor(devpor),
10715
	.combout(\ramnrom[0]~64 ),
10716
	.regout(),
10717
	.cout(),
10718
	.cascout());
10719
// synopsys translate_off
10720
defparam \ramnrom[0]~64_I .clock_enable_mode = "false";
10721
defparam \ramnrom[0]~64_I .lut_mask = "1000";
10722
defparam \ramnrom[0]~64_I .operation_mode = "normal";
10723
defparam \ramnrom[0]~64_I .output_mode = "comb_only";
10724
defparam \ramnrom[0]~64_I .packed_mode = "false";
10725
// synopsys translate_on
10726
 
10727
// atom is at LC1_A27
10728
flex10ke_lcell \ramnrom[0]~I (
10729
// Equation(s):
10730
// ramnrom[0] = DFFEA(\d[6]~1  # !\a~dataout [11], GLOBAL(\fclk~dataout ), , , \ramnrom[0]~64 , , )
10731
 
10732
	.dataa(\ramnrom[0]~64 ),
10733
	.datab(vcc),
10734
	.datac(a_11),
10735
	.datad(d_6),
10736
	.aclr(gnd),
10737
	.aload(gnd),
10738
	.clk(fclk),
10739
	.cin(gnd),
10740
	.cascin(vcc),
10741
	.devclrn(devclrn),
10742
	.devpor(devpor),
10743
	.combout(),
10744
	.regout(ramnrom[0]),
10745
	.cout(),
10746
	.cascout());
10747
// synopsys translate_off
10748
defparam \ramnrom[0]~I .clock_enable_mode = "true";
10749
defparam \ramnrom[0]~I .lut_mask = "ff0f";
10750
defparam \ramnrom[0]~I .operation_mode = "normal";
10751
defparam \ramnrom[0]~I .output_mode = "reg_only";
10752
defparam \ramnrom[0]~I .packed_mode = "false";
10753
// synopsys translate_on
10754
 
10755
// atom is at LC2_A16
10756
flex10ke_lcell \dos_7ffd[0]~I (
10757
// Equation(s):
10758
// dos_7ffd[0] = DFFEA(\d[7]~0 , GLOBAL(\fclk~dataout ), , , \dos_7ffd[0]~59 , , )
10759
 
10760
	.dataa(\dos_7ffd[0]~59 ),
10761
	.datab(vcc),
10762
	.datac(vcc),
10763
	.datad(d_7),
10764
	.aclr(gnd),
10765
	.aload(gnd),
10766
	.clk(fclk),
10767
	.cin(gnd),
10768
	.cascin(vcc),
10769
	.devclrn(devclrn),
10770
	.devpor(devpor),
10771
	.combout(),
10772
	.regout(dos_7ffd[0]),
10773
	.cout(),
10774
	.cascout());
10775
// synopsys translate_off
10776
defparam \dos_7ffd[0]~I .clock_enable_mode = "true";
10777
defparam \dos_7ffd[0]~I .lut_mask = "ff00";
10778
defparam \dos_7ffd[0]~I .operation_mode = "normal";
10779
defparam \dos_7ffd[0]~I .output_mode = "reg_only";
10780
defparam \dos_7ffd[0]~I .packed_mode = "false";
10781
// synopsys translate_on
10782
 
10783
// atom is at LC8_A14
10784
flex10ke_lcell \dos_7ffd[1]~I (
10785
// Equation(s):
10786
// dos_7ffd[1] = DFFEA(\d[7]~0 , GLOBAL(\fclk~dataout ), , , \dos_7ffd[1]~58 , , )
10787
 
10788
	.dataa(\dos_7ffd[1]~58 ),
10789
	.datab(vcc),
10790
	.datac(vcc),
10791
	.datad(d_7),
10792
	.aclr(gnd),
10793
	.aload(gnd),
10794
	.clk(fclk),
10795
	.cin(gnd),
10796
	.cascin(vcc),
10797
	.devclrn(devclrn),
10798
	.devpor(devpor),
10799
	.combout(),
10800
	.regout(dos_7ffd[1]),
10801
	.cout(),
10802
	.cascout());
10803
// synopsys translate_off
10804
defparam \dos_7ffd[1]~I .clock_enable_mode = "true";
10805
defparam \dos_7ffd[1]~I .lut_mask = "ff00";
10806
defparam \dos_7ffd[1]~I .operation_mode = "normal";
10807
defparam \dos_7ffd[1]~I .output_mode = "reg_only";
10808
defparam \dos_7ffd[1]~I .packed_mode = "false";
10809
// synopsys translate_on
10810
 
10811
// atom is at LC8_A13
10812
flex10ke_lcell \always0~48_I (
10813
// Equation(s):
10814
// \always0~48  = p7ffd_int_4 & (dos_7ffd[1]) # !p7ffd_int_4 & dos_7ffd[0]
10815
 
10816
	.dataa(vcc),
10817
	.datab(p7ffd_int_4),
10818
	.datac(dos_7ffd[0]),
10819
	.datad(dos_7ffd[1]),
10820
	.aclr(gnd),
10821
	.aload(gnd),
10822
	.clk(gnd),
10823
	.cin(gnd),
10824
	.cascin(vcc),
10825
	.devclrn(devclrn),
10826
	.devpor(devpor),
10827
	.combout(\always0~48 ),
10828
	.regout(),
10829
	.cout(),
10830
	.cascout());
10831
// synopsys translate_off
10832
defparam \always0~48_I .clock_enable_mode = "false";
10833
defparam \always0~48_I .lut_mask = "fc30";
10834
defparam \always0~48_I .operation_mode = "normal";
10835
defparam \always0~48_I .output_mode = "comb_only";
10836
defparam \always0~48_I .packed_mode = "false";
10837
// synopsys translate_on
10838
 
10839
// atom is at LC6_A29
10840
flex10ke_lcell \pages[0][0]~I (
10841
// Equation(s):
10842
// \pages[0][0]  = DFFEA(!\d[0]~7 , GLOBAL(\fclk~dataout ), , , \ramnrom[0]~64 , , )
10843
 
10844
	.dataa(\ramnrom[0]~64 ),
10845
	.datab(vcc),
10846
	.datac(vcc),
10847
	.datad(d_0),
10848
	.aclr(gnd),
10849
	.aload(gnd),
10850
	.clk(fclk),
10851
	.cin(gnd),
10852
	.cascin(vcc),
10853
	.devclrn(devclrn),
10854
	.devpor(devpor),
10855
	.combout(),
10856
	.regout(\pages[0][0] ),
10857
	.cout(),
10858
	.cascout());
10859
// synopsys translate_off
10860
defparam \pages[0][0]~I .clock_enable_mode = "true";
10861
defparam \pages[0][0]~I .lut_mask = "00ff";
10862
defparam \pages[0][0]~I .operation_mode = "normal";
10863
defparam \pages[0][0]~I .output_mode = "reg_only";
10864
defparam \pages[0][0]~I .packed_mode = "false";
10865
// synopsys translate_on
10866
 
10867
// atom is at LC4_A29
10868
flex10ke_lcell \pages[1][0]~I (
10869
// Equation(s):
10870
// \pages[1][0]  = DFFEA(!\d[0]~7 , GLOBAL(\fclk~dataout ), , , \ramnrom[1]~65 , , )
10871
 
10872
	.dataa(\ramnrom[1]~65 ),
10873
	.datab(vcc),
10874
	.datac(vcc),
10875
	.datad(d_0),
10876
	.aclr(gnd),
10877
	.aload(gnd),
10878
	.clk(fclk),
10879
	.cin(gnd),
10880
	.cascin(vcc),
10881
	.devclrn(devclrn),
10882
	.devpor(devpor),
10883
	.combout(),
10884
	.regout(\pages[1][0] ),
10885
	.cout(),
10886
	.cascout());
10887
// synopsys translate_off
10888
defparam \pages[1][0]~I .clock_enable_mode = "true";
10889
defparam \pages[1][0]~I .lut_mask = "00ff";
10890
defparam \pages[1][0]~I .operation_mode = "normal";
10891
defparam \pages[1][0]~I .output_mode = "reg_only";
10892
defparam \pages[1][0]~I .packed_mode = "false";
10893
// synopsys translate_on
10894
 
10895
// atom is at LC2_A29
10896
flex10ke_lcell \page~1615_I (
10897
// Equation(s):
10898
// \page~1615  = p7ffd_int_4 & (\pages[1][0] ) # !p7ffd_int_4 & \pages[0][0] 
10899
 
10900
	.dataa(vcc),
10901
	.datab(p7ffd_int_4),
10902
	.datac(\pages[0][0] ),
10903
	.datad(\pages[1][0] ),
10904
	.aclr(gnd),
10905
	.aload(gnd),
10906
	.clk(gnd),
10907
	.cin(gnd),
10908
	.cascin(vcc),
10909
	.devclrn(devclrn),
10910
	.devpor(devpor),
10911
	.combout(\page~1615 ),
10912
	.regout(),
10913
	.cout(),
10914
	.cascout());
10915
// synopsys translate_off
10916
defparam \page~1615_I .clock_enable_mode = "false";
10917
defparam \page~1615_I .lut_mask = "fc30";
10918
defparam \page~1615_I .operation_mode = "normal";
10919
defparam \page~1615_I .output_mode = "comb_only";
10920
defparam \page~1615_I .packed_mode = "false";
10921
// synopsys translate_on
10922
 
10923
// atom is at LC7_A13
10924
flex10ke_lcell \page~1614_I (
10925
// Equation(s):
10926
// \page~1614  = always0 & (p7ffd_int_0) # !always0 & !dos
10927
 
10928
	.dataa(vcc),
10929
	.datab(dos),
10930
	.datac(always0),
10931
	.datad(p7ffd_int_0),
10932
	.aclr(gnd),
10933
	.aload(gnd),
10934
	.clk(gnd),
10935
	.cin(gnd),
10936
	.cascin(vcc),
10937
	.devclrn(devclrn),
10938
	.devpor(devpor),
10939
	.combout(\page~1614 ),
10940
	.regout(),
10941
	.cout(),
10942
	.cascout());
10943
// synopsys translate_off
10944
defparam \page~1614_I .clock_enable_mode = "false";
10945
defparam \page~1614_I .lut_mask = "f303";
10946
defparam \page~1614_I .operation_mode = "normal";
10947
defparam \page~1614_I .output_mode = "comb_only";
10948
defparam \page~1614_I .packed_mode = "false";
10949
// synopsys translate_on
10950
 
10951
// atom is at LC4_A13
10952
flex10ke_lcell \page~1618_I (
10953
// Equation(s):
10954
// \page~1618  = \always0~48  & (p7ffd_int_4 & (ramnrom[1]) # !p7ffd_int_4 & ramnrom[0])
10955
 
10956
	.dataa(p7ffd_int_4),
10957
	.datab(ramnrom[0]),
10958
	.datac(ramnrom[1]),
10959
	.datad(\always0~48 ),
10960
	.aclr(gnd),
10961
	.aload(gnd),
10962
	.clk(gnd),
10963
	.cin(gnd),
10964
	.cascin(vcc),
10965
	.devclrn(devclrn),
10966
	.devpor(devpor),
10967
	.combout(\page~1618 ),
10968
	.regout(),
10969
	.cout(),
10970
	.cascout());
10971
// synopsys translate_off
10972
defparam \page~1618_I .clock_enable_mode = "false";
10973
defparam \page~1618_I .lut_mask = "e400";
10974
defparam \page~1618_I .operation_mode = "normal";
10975
defparam \page~1618_I .output_mode = "comb_only";
10976
defparam \page~1618_I .packed_mode = "false";
10977
// synopsys translate_on
10978
 
10979
// atom is at LC6_B33
10980
flex10ke_lcell \pages[0][1]~I (
10981
// Equation(s):
10982
// \pages[0][1]  = DFFEA(!\d[1]~6 , GLOBAL(\fclk~dataout ), , , \ramnrom[0]~64 , , )
10983
 
10984
	.dataa(\ramnrom[0]~64 ),
10985
	.datab(vcc),
10986
	.datac(vcc),
10987
	.datad(d_1),
10988
	.aclr(gnd),
10989
	.aload(gnd),
10990
	.clk(fclk),
10991
	.cin(gnd),
10992
	.cascin(vcc),
10993
	.devclrn(devclrn),
10994
	.devpor(devpor),
10995
	.combout(),
10996
	.regout(\pages[0][1] ),
10997
	.cout(),
10998
	.cascout());
10999
// synopsys translate_off
11000
defparam \pages[0][1]~I .clock_enable_mode = "true";
11001
defparam \pages[0][1]~I .lut_mask = "00ff";
11002
defparam \pages[0][1]~I .operation_mode = "normal";
11003
defparam \pages[0][1]~I .output_mode = "reg_only";
11004
defparam \pages[0][1]~I .packed_mode = "false";
11005
// synopsys translate_on
11006
 
11007
// atom is at LC4_B33
11008
flex10ke_lcell \pages[1][1]~I (
11009
// Equation(s):
11010
// \pages[1][1]  = DFFEA(!\d[1]~6 , GLOBAL(\fclk~dataout ), , , \ramnrom[1]~65 , , )
11011
 
11012
	.dataa(\ramnrom[1]~65 ),
11013
	.datab(vcc),
11014
	.datac(vcc),
11015
	.datad(d_1),
11016
	.aclr(gnd),
11017
	.aload(gnd),
11018
	.clk(fclk),
11019
	.cin(gnd),
11020
	.cascin(vcc),
11021
	.devclrn(devclrn),
11022
	.devpor(devpor),
11023
	.combout(),
11024
	.regout(\pages[1][1] ),
11025
	.cout(),
11026
	.cascout());
11027
// synopsys translate_off
11028
defparam \pages[1][1]~I .clock_enable_mode = "true";
11029
defparam \pages[1][1]~I .lut_mask = "00ff";
11030
defparam \pages[1][1]~I .operation_mode = "normal";
11031
defparam \pages[1][1]~I .output_mode = "reg_only";
11032
defparam \pages[1][1]~I .packed_mode = "false";
11033
// synopsys translate_on
11034
 
11035
// atom is at LC2_B33
11036
flex10ke_lcell \page~1617_I (
11037
// Equation(s):
11038
// \page~1617  = p7ffd_int_4 & (\pages[1][1] ) # !p7ffd_int_4 & \pages[0][1] 
11039
 
11040
	.dataa(vcc),
11041
	.datab(p7ffd_int_4),
11042
	.datac(\pages[0][1] ),
11043
	.datad(\pages[1][1] ),
11044
	.aclr(gnd),
11045
	.aload(gnd),
11046
	.clk(gnd),
11047
	.cin(gnd),
11048
	.cascin(vcc),
11049
	.devclrn(devclrn),
11050
	.devpor(devpor),
11051
	.combout(\page~1617 ),
11052
	.regout(),
11053
	.cout(),
11054
	.cascout());
11055
// synopsys translate_off
11056
defparam \page~1617_I .clock_enable_mode = "false";
11057
defparam \page~1617_I .lut_mask = "fc30";
11058
defparam \page~1617_I .operation_mode = "normal";
11059
defparam \page~1617_I .output_mode = "comb_only";
11060
defparam \page~1617_I .packed_mode = "false";
11061
// synopsys translate_on
11062
 
11063
// atom is at LC8_B33
11064
flex10ke_lcell \pages[0][2]~I (
11065
// Equation(s):
11066
// \pages[0][2]  = DFFEA(!\d[2]~5 , GLOBAL(\fclk~dataout ), , , \ramnrom[0]~64 , , )
11067
 
11068
	.dataa(\ramnrom[0]~64 ),
11069
	.datab(vcc),
11070
	.datac(vcc),
11071
	.datad(d_2),
11072
	.aclr(gnd),
11073
	.aload(gnd),
11074
	.clk(fclk),
11075
	.cin(gnd),
11076
	.cascin(vcc),
11077
	.devclrn(devclrn),
11078
	.devpor(devpor),
11079
	.combout(),
11080
	.regout(\pages[0][2] ),
11081
	.cout(),
11082
	.cascout());
11083
// synopsys translate_off
11084
defparam \pages[0][2]~I .clock_enable_mode = "true";
11085
defparam \pages[0][2]~I .lut_mask = "00ff";
11086
defparam \pages[0][2]~I .operation_mode = "normal";
11087
defparam \pages[0][2]~I .output_mode = "reg_only";
11088
defparam \pages[0][2]~I .packed_mode = "false";
11089
// synopsys translate_on
11090
 
11091
// atom is at LC7_B33
11092
flex10ke_lcell \pages[1][2]~I (
11093
// Equation(s):
11094
// \pages[1][2]  = DFFEA(!\d[2]~5 , GLOBAL(\fclk~dataout ), , , \ramnrom[1]~65 , , )
11095
 
11096
	.dataa(\ramnrom[1]~65 ),
11097
	.datab(vcc),
11098
	.datac(vcc),
11099
	.datad(d_2),
11100
	.aclr(gnd),
11101
	.aload(gnd),
11102
	.clk(fclk),
11103
	.cin(gnd),
11104
	.cascin(vcc),
11105
	.devclrn(devclrn),
11106
	.devpor(devpor),
11107
	.combout(),
11108
	.regout(\pages[1][2] ),
11109
	.cout(),
11110
	.cascout());
11111
// synopsys translate_off
11112
defparam \pages[1][2]~I .clock_enable_mode = "true";
11113
defparam \pages[1][2]~I .lut_mask = "00ff";
11114
defparam \pages[1][2]~I .operation_mode = "normal";
11115
defparam \pages[1][2]~I .output_mode = "reg_only";
11116
defparam \pages[1][2]~I .packed_mode = "false";
11117
// synopsys translate_on
11118
 
11119
// atom is at LC1_B33
11120
flex10ke_lcell \page~1620_I (
11121
// Equation(s):
11122
// \page~1620  = p7ffd_int_4 & (\pages[1][2] ) # !p7ffd_int_4 & \pages[0][2] 
11123
 
11124
	.dataa(vcc),
11125
	.datab(p7ffd_int_4),
11126
	.datac(\pages[0][2] ),
11127
	.datad(\pages[1][2] ),
11128
	.aclr(gnd),
11129
	.aload(gnd),
11130
	.clk(gnd),
11131
	.cin(gnd),
11132
	.cascin(vcc),
11133
	.devclrn(devclrn),
11134
	.devpor(devpor),
11135
	.combout(\page~1620 ),
11136
	.regout(),
11137
	.cout(),
11138
	.cascout());
11139
// synopsys translate_off
11140
defparam \page~1620_I .clock_enable_mode = "false";
11141
defparam \page~1620_I .lut_mask = "fc30";
11142
defparam \page~1620_I .operation_mode = "normal";
11143
defparam \page~1620_I .output_mode = "comb_only";
11144
defparam \page~1620_I .packed_mode = "false";
11145
// synopsys translate_on
11146
 
11147
// atom is at LC2_B19
11148
flex10ke_lcell \page~1623_I (
11149
// Equation(s):
11150
// \page~1623  = !peff7_int_2 & \page~1618 
11151
 
11152
	.dataa(vcc),
11153
	.datab(vcc),
11154
	.datac(peff7_int_2),
11155
	.datad(\page~1618 ),
11156
	.aclr(gnd),
11157
	.aload(gnd),
11158
	.clk(gnd),
11159
	.cin(gnd),
11160
	.cascin(vcc),
11161
	.devclrn(devclrn),
11162
	.devpor(devpor),
11163
	.combout(\page~1623 ),
11164
	.regout(),
11165
	.cout(),
11166
	.cascout());
11167
// synopsys translate_off
11168
defparam \page~1623_I .clock_enable_mode = "false";
11169
defparam \page~1623_I .lut_mask = "0f00";
11170
defparam \page~1623_I .operation_mode = "normal";
11171
defparam \page~1623_I .output_mode = "comb_only";
11172
defparam \page~1623_I .packed_mode = "false";
11173
// synopsys translate_on
11174
 
11175
// atom is at LC4_B23
11176
flex10ke_lcell \pages[0][3]~I (
11177
// Equation(s):
11178
// \pages[0][3]  = DFFEA(!\d[3]~4 , GLOBAL(\fclk~dataout ), , , \ramnrom[0]~64 , , )
11179
 
11180
	.dataa(\ramnrom[0]~64 ),
11181
	.datab(vcc),
11182
	.datac(vcc),
11183
	.datad(d_3),
11184
	.aclr(gnd),
11185
	.aload(gnd),
11186
	.clk(fclk),
11187
	.cin(gnd),
11188
	.cascin(vcc),
11189
	.devclrn(devclrn),
11190
	.devpor(devpor),
11191
	.combout(),
11192
	.regout(\pages[0][3] ),
11193
	.cout(),
11194
	.cascout());
11195
// synopsys translate_off
11196
defparam \pages[0][3]~I .clock_enable_mode = "true";
11197
defparam \pages[0][3]~I .lut_mask = "00ff";
11198
defparam \pages[0][3]~I .operation_mode = "normal";
11199
defparam \pages[0][3]~I .output_mode = "reg_only";
11200
defparam \pages[0][3]~I .packed_mode = "false";
11201
// synopsys translate_on
11202
 
11203
// atom is at LC3_B23
11204
flex10ke_lcell \pages[1][3]~I (
11205
// Equation(s):
11206
// \pages[1][3]  = DFFEA(!\d[3]~4 , GLOBAL(\fclk~dataout ), , , \ramnrom[1]~65 , , )
11207
 
11208
	.dataa(\ramnrom[1]~65 ),
11209
	.datab(vcc),
11210
	.datac(vcc),
11211
	.datad(d_3),
11212
	.aclr(gnd),
11213
	.aload(gnd),
11214
	.clk(fclk),
11215
	.cin(gnd),
11216
	.cascin(vcc),
11217
	.devclrn(devclrn),
11218
	.devpor(devpor),
11219
	.combout(),
11220
	.regout(\pages[1][3] ),
11221
	.cout(),
11222
	.cascout());
11223
// synopsys translate_off
11224
defparam \pages[1][3]~I .clock_enable_mode = "true";
11225
defparam \pages[1][3]~I .lut_mask = "00ff";
11226
defparam \pages[1][3]~I .operation_mode = "normal";
11227
defparam \pages[1][3]~I .output_mode = "reg_only";
11228
defparam \pages[1][3]~I .packed_mode = "false";
11229
// synopsys translate_on
11230
 
11231
// atom is at LC1_B23
11232
flex10ke_lcell \page~1622_I (
11233
// Equation(s):
11234
// \page~1622  = p7ffd_int_4 & (\pages[1][3] ) # !p7ffd_int_4 & \pages[0][3] 
11235
 
11236
	.dataa(vcc),
11237
	.datab(p7ffd_int_4),
11238
	.datac(\pages[0][3] ),
11239
	.datad(\pages[1][3] ),
11240
	.aclr(gnd),
11241
	.aload(gnd),
11242
	.clk(gnd),
11243
	.cin(gnd),
11244
	.cascin(vcc),
11245
	.devclrn(devclrn),
11246
	.devpor(devpor),
11247
	.combout(\page~1622 ),
11248
	.regout(),
11249
	.cout(),
11250
	.cascout());
11251
// synopsys translate_off
11252
defparam \page~1622_I .clock_enable_mode = "false";
11253
defparam \page~1622_I .lut_mask = "fc30";
11254
defparam \page~1622_I .operation_mode = "normal";
11255
defparam \page~1622_I .output_mode = "comb_only";
11256
defparam \page~1622_I .packed_mode = "false";
11257
// synopsys translate_on
11258
 
11259
// atom is at LC8_A29
11260
flex10ke_lcell \pages[0][4]~I (
11261
// Equation(s):
11262
// \pages[0][4]  = DFFEA(!\d[4]~3 , GLOBAL(\fclk~dataout ), , , \ramnrom[0]~64 , , )
11263
 
11264
	.dataa(\ramnrom[0]~64 ),
11265
	.datab(vcc),
11266
	.datac(vcc),
11267
	.datad(d_4),
11268
	.aclr(gnd),
11269
	.aload(gnd),
11270
	.clk(fclk),
11271
	.cin(gnd),
11272
	.cascin(vcc),
11273
	.devclrn(devclrn),
11274
	.devpor(devpor),
11275
	.combout(),
11276
	.regout(\pages[0][4] ),
11277
	.cout(),
11278
	.cascout());
11279
// synopsys translate_off
11280
defparam \pages[0][4]~I .clock_enable_mode = "true";
11281
defparam \pages[0][4]~I .lut_mask = "00ff";
11282
defparam \pages[0][4]~I .operation_mode = "normal";
11283
defparam \pages[0][4]~I .output_mode = "reg_only";
11284
defparam \pages[0][4]~I .packed_mode = "false";
11285
// synopsys translate_on
11286
 
11287
// atom is at LC7_A29
11288
flex10ke_lcell \pages[1][4]~I (
11289
// Equation(s):
11290
// \pages[1][4]  = DFFEA(!\d[4]~3 , GLOBAL(\fclk~dataout ), , , \ramnrom[1]~65 , , )
11291
 
11292
	.dataa(\ramnrom[1]~65 ),
11293
	.datab(vcc),
11294
	.datac(vcc),
11295
	.datad(d_4),
11296
	.aclr(gnd),
11297
	.aload(gnd),
11298
	.clk(fclk),
11299
	.cin(gnd),
11300
	.cascin(vcc),
11301
	.devclrn(devclrn),
11302
	.devpor(devpor),
11303
	.combout(),
11304
	.regout(\pages[1][4] ),
11305
	.cout(),
11306
	.cascout());
11307
// synopsys translate_off
11308
defparam \pages[1][4]~I .clock_enable_mode = "true";
11309
defparam \pages[1][4]~I .lut_mask = "00ff";
11310
defparam \pages[1][4]~I .operation_mode = "normal";
11311
defparam \pages[1][4]~I .output_mode = "reg_only";
11312
defparam \pages[1][4]~I .packed_mode = "false";
11313
// synopsys translate_on
11314
 
11315
// atom is at LC1_A29
11316
flex10ke_lcell \page~1625_I (
11317
// Equation(s):
11318
// \page~1625  = p7ffd_int_4 & (\pages[1][4] ) # !p7ffd_int_4 & \pages[0][4] 
11319
 
11320
	.dataa(vcc),
11321
	.datab(p7ffd_int_4),
11322
	.datac(\pages[0][4] ),
11323
	.datad(\pages[1][4] ),
11324
	.aclr(gnd),
11325
	.aload(gnd),
11326
	.clk(gnd),
11327
	.cin(gnd),
11328
	.cascin(vcc),
11329
	.devclrn(devclrn),
11330
	.devpor(devpor),
11331
	.combout(\page~1625 ),
11332
	.regout(),
11333
	.cout(),
11334
	.cascout());
11335
// synopsys translate_off
11336
defparam \page~1625_I .clock_enable_mode = "false";
11337
defparam \page~1625_I .lut_mask = "fc30";
11338
defparam \page~1625_I .operation_mode = "normal";
11339
defparam \page~1625_I .output_mode = "comb_only";
11340
defparam \page~1625_I .packed_mode = "false";
11341
// synopsys translate_on
11342
 
11343
// atom is at LC4_A8
11344
flex10ke_lcell \stall_count[0]~I (
11345
// Equation(s):
11346
// stall_count[0] = DFFEA(dos_exec_stb1 # stall_count[0] $ stall_count_2, GLOBAL(\fclk~dataout ), , , , , )
11347
 
11348
	.dataa(vcc),
11349
	.datab(stall_count[0]),
11350
	.datac(stall_count_2),
11351
	.datad(dos_exec_stb1),
11352
	.aclr(gnd),
11353
	.aload(gnd),
11354
	.clk(fclk),
11355
	.cin(gnd),
11356
	.cascin(vcc),
11357
	.devclrn(devclrn),
11358
	.devpor(devpor),
11359
	.combout(),
11360
	.regout(stall_count[0]),
11361
	.cout(),
11362
	.cascout());
11363
// synopsys translate_off
11364
defparam \stall_count[0]~I .clock_enable_mode = "false";
11365
defparam \stall_count[0]~I .lut_mask = "ff3c";
11366
defparam \stall_count[0]~I .operation_mode = "normal";
11367
defparam \stall_count[0]~I .output_mode = "reg_only";
11368
defparam \stall_count[0]~I .packed_mode = "false";
11369
// synopsys translate_on
11370
 
11371
// atom is at LC5_A8
11372
flex10ke_lcell \stall_count[1]~39_I (
11373
// Equation(s):
11374
// \stall_count[1]~39  = !dos_exec_stb1
11375
 
11376
	.dataa(vcc),
11377
	.datab(vcc),
11378
	.datac(vcc),
11379
	.datad(dos_exec_stb1),
11380
	.aclr(gnd),
11381
	.aload(gnd),
11382
	.clk(gnd),
11383
	.cin(gnd),
11384
	.cascin(vcc),
11385
	.devclrn(devclrn),
11386
	.devpor(devpor),
11387
	.combout(\stall_count[1]~39 ),
11388
	.regout(),
11389
	.cout(),
11390
	.cascout());
11391
// synopsys translate_off
11392
defparam \stall_count[1]~39_I .clock_enable_mode = "false";
11393
defparam \stall_count[1]~39_I .lut_mask = "00ff";
11394
defparam \stall_count[1]~39_I .operation_mode = "normal";
11395
defparam \stall_count[1]~39_I .output_mode = "comb_only";
11396
defparam \stall_count[1]~39_I .packed_mode = "false";
11397
// synopsys translate_on
11398
 
11399
// atom is at LC3_A8
11400
flex10ke_lcell \stall_count[1]~I (
11401
// Equation(s):
11402
// stall_count[1] = DFFEA(stall_count[1] $ (stall_count[0] & stall_count_2), GLOBAL(\fclk~dataout ), , , \stall_count[1]~39 , , )
11403
 
11404
	.dataa(\stall_count[1]~39 ),
11405
	.datab(stall_count[0]),
11406
	.datac(stall_count_2),
11407
	.datad(stall_count[1]),
11408
	.aclr(gnd),
11409
	.aload(gnd),
11410
	.clk(fclk),
11411
	.cin(gnd),
11412
	.cascin(vcc),
11413
	.devclrn(devclrn),
11414
	.devpor(devpor),
11415
	.combout(),
11416
	.regout(stall_count[1]),
11417
	.cout(),
11418
	.cascout());
11419
// synopsys translate_off
11420
defparam \stall_count[1]~I .clock_enable_mode = "true";
11421
defparam \stall_count[1]~I .lut_mask = "3fc0";
11422
defparam \stall_count[1]~I .operation_mode = "normal";
11423
defparam \stall_count[1]~I .output_mode = "reg_only";
11424
defparam \stall_count[1]~I .packed_mode = "false";
11425
// synopsys translate_on
11426
 
11427
// atom is at LC6_B23
11428
flex10ke_lcell \pages[0][5]~I (
11429
// Equation(s):
11430
// \pages[0][5]  = DFFEA(!\d[5]~2 , GLOBAL(\fclk~dataout ), , , \ramnrom[0]~64 , , )
11431
 
11432
	.dataa(\ramnrom[0]~64 ),
11433
	.datab(vcc),
11434
	.datac(vcc),
11435
	.datad(d_5),
11436
	.aclr(gnd),
11437
	.aload(gnd),
11438
	.clk(fclk),
11439
	.cin(gnd),
11440
	.cascin(vcc),
11441
	.devclrn(devclrn),
11442
	.devpor(devpor),
11443
	.combout(),
11444
	.regout(\pages[0][5] ),
11445
	.cout(),
11446
	.cascout());
11447
// synopsys translate_off
11448
defparam \pages[0][5]~I .clock_enable_mode = "true";
11449
defparam \pages[0][5]~I .lut_mask = "00ff";
11450
defparam \pages[0][5]~I .operation_mode = "normal";
11451
defparam \pages[0][5]~I .output_mode = "reg_only";
11452
defparam \pages[0][5]~I .packed_mode = "false";
11453
// synopsys translate_on
11454
 
11455
// atom is at LC5_B23
11456
flex10ke_lcell \pages[1][5]~I (
11457
// Equation(s):
11458
// \pages[1][5]  = DFFEA(!\d[5]~2 , GLOBAL(\fclk~dataout ), , , \ramnrom[1]~65 , , )
11459
 
11460
	.dataa(\ramnrom[1]~65 ),
11461
	.datab(vcc),
11462
	.datac(vcc),
11463
	.datad(d_5),
11464
	.aclr(gnd),
11465
	.aload(gnd),
11466
	.clk(fclk),
11467
	.cin(gnd),
11468
	.cascin(vcc),
11469
	.devclrn(devclrn),
11470
	.devpor(devpor),
11471
	.combout(),
11472
	.regout(\pages[1][5] ),
11473
	.cout(),
11474
	.cascout());
11475
// synopsys translate_off
11476
defparam \pages[1][5]~I .clock_enable_mode = "true";
11477
defparam \pages[1][5]~I .lut_mask = "00ff";
11478
defparam \pages[1][5]~I .operation_mode = "normal";
11479
defparam \pages[1][5]~I .output_mode = "reg_only";
11480
defparam \pages[1][5]~I .packed_mode = "false";
11481
// synopsys translate_on
11482
 
11483
// atom is at LC2_B23
11484
flex10ke_lcell \page~1627_I (
11485
// Equation(s):
11486
// \page~1627  = p7ffd_int_4 & (\pages[1][5] ) # !p7ffd_int_4 & \pages[0][5] 
11487
 
11488
	.dataa(vcc),
11489
	.datab(p7ffd_int_4),
11490
	.datac(\pages[0][5] ),
11491
	.datad(\pages[1][5] ),
11492
	.aclr(gnd),
11493
	.aload(gnd),
11494
	.clk(gnd),
11495
	.cin(gnd),
11496
	.cascin(vcc),
11497
	.devclrn(devclrn),
11498
	.devpor(devpor),
11499
	.combout(\page~1627 ),
11500
	.regout(),
11501
	.cout(),
11502
	.cascout());
11503
// synopsys translate_off
11504
defparam \page~1627_I .clock_enable_mode = "false";
11505
defparam \page~1627_I .lut_mask = "fc30";
11506
defparam \page~1627_I .operation_mode = "normal";
11507
defparam \page~1627_I .output_mode = "comb_only";
11508
defparam \page~1627_I .packed_mode = "false";
11509
// synopsys translate_on
11510
 
11511
// atom is at LC3_A27
11512
flex10ke_lcell \pages[0][6]~I (
11513
// Equation(s):
11514
// \pages[0][6]  = DFFEA(!\d[6]~1  & !\a~dataout [11], GLOBAL(\fclk~dataout ), , , \ramnrom[0]~64 , , )
11515
 
11516
	.dataa(\ramnrom[0]~64 ),
11517
	.datab(vcc),
11518
	.datac(d_6),
11519
	.datad(a_11),
11520
	.aclr(gnd),
11521
	.aload(gnd),
11522
	.clk(fclk),
11523
	.cin(gnd),
11524
	.cascin(vcc),
11525
	.devclrn(devclrn),
11526
	.devpor(devpor),
11527
	.combout(),
11528
	.regout(\pages[0][6] ),
11529
	.cout(),
11530
	.cascout());
11531
// synopsys translate_off
11532
defparam \pages[0][6]~I .clock_enable_mode = "true";
11533
defparam \pages[0][6]~I .lut_mask = "000f";
11534
defparam \pages[0][6]~I .operation_mode = "normal";
11535
defparam \pages[0][6]~I .output_mode = "reg_only";
11536
defparam \pages[0][6]~I .packed_mode = "false";
11537
// synopsys translate_on
11538
 
11539
// atom is at LC2_A27
11540
flex10ke_lcell \pages[1][6]~I (
11541
// Equation(s):
11542
// \pages[1][6]  = DFFEA(!\d[6]~1  & !\a~dataout [11], GLOBAL(\fclk~dataout ), , , \ramnrom[1]~65 , , )
11543
 
11544
	.dataa(\ramnrom[1]~65 ),
11545
	.datab(vcc),
11546
	.datac(d_6),
11547
	.datad(a_11),
11548
	.aclr(gnd),
11549
	.aload(gnd),
11550
	.clk(fclk),
11551
	.cin(gnd),
11552
	.cascin(vcc),
11553
	.devclrn(devclrn),
11554
	.devpor(devpor),
11555
	.combout(),
11556
	.regout(\pages[1][6] ),
11557
	.cout(),
11558
	.cascout());
11559
// synopsys translate_off
11560
defparam \pages[1][6]~I .clock_enable_mode = "true";
11561
defparam \pages[1][6]~I .lut_mask = "000f";
11562
defparam \pages[1][6]~I .operation_mode = "normal";
11563
defparam \pages[1][6]~I .output_mode = "reg_only";
11564
defparam \pages[1][6]~I .packed_mode = "false";
11565
// synopsys translate_on
11566
 
11567
// atom is at LC7_A27
11568
flex10ke_lcell \pages[0][7]~I (
11569
// Equation(s):
11570
// \pages[0][7]  = DFFEA(!\d[7]~0  & !\a~dataout [11], GLOBAL(\fclk~dataout ), , , \ramnrom[0]~64 , , )
11571
 
11572
	.dataa(\ramnrom[0]~64 ),
11573
	.datab(vcc),
11574
	.datac(d_7),
11575
	.datad(a_11),
11576
	.aclr(gnd),
11577
	.aload(gnd),
11578
	.clk(fclk),
11579
	.cin(gnd),
11580
	.cascin(vcc),
11581
	.devclrn(devclrn),
11582
	.devpor(devpor),
11583
	.combout(),
11584
	.regout(\pages[0][7] ),
11585
	.cout(),
11586
	.cascout());
11587
// synopsys translate_off
11588
defparam \pages[0][7]~I .clock_enable_mode = "true";
11589
defparam \pages[0][7]~I .lut_mask = "000f";
11590
defparam \pages[0][7]~I .operation_mode = "normal";
11591
defparam \pages[0][7]~I .output_mode = "reg_only";
11592
defparam \pages[0][7]~I .packed_mode = "false";
11593
// synopsys translate_on
11594
 
11595
// atom is at LC5_A27
11596
flex10ke_lcell \pages[1][7]~I (
11597
// Equation(s):
11598
// \pages[1][7]  = DFFEA(!\d[7]~0  & !\a~dataout [11], GLOBAL(\fclk~dataout ), , , \ramnrom[1]~65 , , )
11599
 
11600
	.dataa(\ramnrom[1]~65 ),
11601
	.datab(vcc),
11602
	.datac(d_7),
11603
	.datad(a_11),
11604
	.aclr(gnd),
11605
	.aload(gnd),
11606
	.clk(fclk),
11607
	.cin(gnd),
11608
	.cascin(vcc),
11609
	.devclrn(devclrn),
11610
	.devpor(devpor),
11611
	.combout(),
11612
	.regout(\pages[1][7] ),
11613
	.cout(),
11614
	.cascout());
11615
// synopsys translate_off
11616
defparam \pages[1][7]~I .clock_enable_mode = "true";
11617
defparam \pages[1][7]~I .lut_mask = "000f";
11618
defparam \pages[1][7]~I .operation_mode = "normal";
11619
defparam \pages[1][7]~I .output_mode = "reg_only";
11620
defparam \pages[1][7]~I .packed_mode = "false";
11621
// synopsys translate_on
11622
 
11623
endmodule
11624
 
11625
module atm_pager_3 (
11626
	romnram,
11627
	page_0,
11628
	page_1,
11629
	page_2,
11630
	page_3,
11631
	page_4,
11632
	dos,
11633
	p7ffd_int_4,
11634
	atm_pen,
11635
	p7ffd_int_0,
11636
	p7ffd_int_1,
11637
	p7ffd_int_2,
11638
	p7ffd_int_5,
11639
	peff7_int_2,
11640
	p7ffd_int_6,
11641
	stall_count_2,
11642
	atmF7_wr_fclk,
11643
	dos_7ffd_0,
11644
	dos_exec_stb,
11645
	pre_bc1,
11646
	dos_exec_stb1,
11647
	dos_turn_off,
11648
	page_5,
11649
	page_6,
11650
	page_7,
11651
	p7ffd_int_7,
11652
	a_14,
11653
	a_15,
11654
	fclk,
11655
	a_11,
11656
	d_0,
11657
	d_1,
11658
	d_2,
11659
	d_3,
11660
	d_4,
11661
	d_5,
11662
	d_6,
11663
	d_7,
11664
	devpor,
11665
	devclrn,
11666
	devoe);
11667
output 	romnram;
11668
output 	page_0;
11669
output 	page_1;
11670
output 	page_2;
11671
output 	page_3;
11672
output 	page_4;
11673
input 	dos;
11674
input 	p7ffd_int_4;
11675
input 	atm_pen;
11676
input 	p7ffd_int_0;
11677
input 	p7ffd_int_1;
11678
input 	p7ffd_int_2;
11679
input 	p7ffd_int_5;
11680
input 	peff7_int_2;
11681
input 	p7ffd_int_6;
11682
output 	stall_count_2;
11683
input 	atmF7_wr_fclk;
11684
input 	dos_7ffd_0;
11685
input 	dos_exec_stb;
11686
input 	pre_bc1;
11687
output 	dos_exec_stb1;
11688
output 	dos_turn_off;
11689
output 	page_5;
11690
output 	page_6;
11691
output 	page_7;
11692
input 	p7ffd_int_7;
11693
input 	a_14;
11694
input 	a_15;
11695
input 	fclk;
11696
input 	a_11;
11697
input 	d_0;
11698
input 	d_1;
11699
input 	d_2;
11700
input 	d_3;
11701
input 	d_4;
11702
input 	d_5;
11703
input 	d_6;
11704
input 	d_7;
11705
input 	devpor;
11706
input 	devclrn;
11707
input 	devoe;
11708
 
11709
wire gnd = 1'b0;
11710
wire vcc = 1'b1;
11711
 
11712
wire \dos_7ffd[1]~58 ;
11713
wire \dos_7ffd[0]~59 ;
11714
wire \ramnrom[1]~64 ;
11715
wire \ramnrom[0]~63 ;
11716
wire \always0~48 ;
11717
wire \pages[0][0] ;
11718
wire \pages[1][0] ;
11719
wire \page~1597 ;
11720
wire \always0~47 ;
11721
wire \page~1596 ;
11722
wire \page~1600 ;
11723
wire \pages[0][1] ;
11724
wire \pages[1][1] ;
11725
wire \page~1599 ;
11726
wire \pages[0][2] ;
11727
wire \pages[1][2] ;
11728
wire \page~1602 ;
11729
wire \page~1605 ;
11730
wire \pages[0][3] ;
11731
wire \pages[1][3] ;
11732
wire \page~1604 ;
11733
wire \pages[0][4] ;
11734
wire \pages[1][4] ;
11735
wire \page~1607 ;
11736
wire \stall_count[1]~39 ;
11737
wire \pages[0][5] ;
11738
wire \pages[1][5] ;
11739
wire \page~1609 ;
11740
wire \pages[0][6] ;
11741
wire \pages[1][6] ;
11742
wire \pages[0][7] ;
11743
wire \pages[1][7] ;
11744
wire [1:0] dos_7ffd;
11745
wire [1:0] ramnrom;
11746
wire [2:0] stall_count;
11747
 
11748
 
11749
// atom is at LC8_A16
11750
flex10ke_lcell \dos_7ffd[1]~58_I (
11751
// Equation(s):
11752
// \dos_7ffd[1]~58  = \a~dataout [15] & \a~dataout [14] & dos_7ffd_0 & p7ffd_int_4
11753
 
11754
	.dataa(a_15),
11755
	.datab(a_14),
11756
	.datac(dos_7ffd_0),
11757
	.datad(p7ffd_int_4),
11758
	.aclr(gnd),
11759
	.aload(gnd),
11760
	.clk(gnd),
11761
	.cin(gnd),
11762
	.cascin(vcc),
11763
	.devclrn(devclrn),
11764
	.devpor(devpor),
11765
	.combout(\dos_7ffd[1]~58 ),
11766
	.regout(),
11767
	.cout(),
11768
	.cascout());
11769
// synopsys translate_off
11770
defparam \dos_7ffd[1]~58_I .clock_enable_mode = "false";
11771
defparam \dos_7ffd[1]~58_I .lut_mask = "8000";
11772
defparam \dos_7ffd[1]~58_I .operation_mode = "normal";
11773
defparam \dos_7ffd[1]~58_I .output_mode = "comb_only";
11774
defparam \dos_7ffd[1]~58_I .packed_mode = "false";
11775
// synopsys translate_on
11776
 
11777
// atom is at LC8_A11
11778
flex10ke_lcell \dos_7ffd[0]~59_I (
11779
// Equation(s):
11780
// \dos_7ffd[0]~59  = !p7ffd_int_4 & \a~dataout [15] & \a~dataout [14] & dos_7ffd_0
11781
 
11782
	.dataa(p7ffd_int_4),
11783
	.datab(a_15),
11784
	.datac(a_14),
11785
	.datad(dos_7ffd_0),
11786
	.aclr(gnd),
11787
	.aload(gnd),
11788
	.clk(gnd),
11789
	.cin(gnd),
11790
	.cascin(vcc),
11791
	.devclrn(devclrn),
11792
	.devpor(devpor),
11793
	.combout(\dos_7ffd[0]~59 ),
11794
	.regout(),
11795
	.cout(),
11796
	.cascout());
11797
// synopsys translate_off
11798
defparam \dos_7ffd[0]~59_I .clock_enable_mode = "false";
11799
defparam \dos_7ffd[0]~59_I .lut_mask = "4000";
11800
defparam \dos_7ffd[0]~59_I .operation_mode = "normal";
11801
defparam \dos_7ffd[0]~59_I .output_mode = "comb_only";
11802
defparam \dos_7ffd[0]~59_I .packed_mode = "false";
11803
// synopsys translate_on
11804
 
11805
// atom is at LC3_A10
11806
flex10ke_lcell \romnram~I (
11807
// Equation(s):
11808
// romnram = DFFEA(p7ffd_int_4 & !ramnrom[1] # !p7ffd_int_4 & (!ramnrom[0]) # !atm_pen, GLOBAL(\fclk~dataout ), , , , , )
11809
 
11810
	.dataa(atm_pen),
11811
	.datab(ramnrom[1]),
11812
	.datac(ramnrom[0]),
11813
	.datad(p7ffd_int_4),
11814
	.aclr(gnd),
11815
	.aload(gnd),
11816
	.clk(fclk),
11817
	.cin(gnd),
11818
	.cascin(vcc),
11819
	.devclrn(devclrn),
11820
	.devpor(devpor),
11821
	.combout(),
11822
	.regout(romnram),
11823
	.cout(),
11824
	.cascout());
11825
// synopsys translate_off
11826
defparam \romnram~I .clock_enable_mode = "false";
11827
defparam \romnram~I .lut_mask = "775f";
11828
defparam \romnram~I .operation_mode = "normal";
11829
defparam \romnram~I .output_mode = "reg_only";
11830
defparam \romnram~I .packed_mode = "false";
11831
// synopsys translate_on
11832
 
11833
// atom is at LC4_A10
11834
flex10ke_lcell \page[0]~I (
11835
// Equation(s):
11836
// page_0 = DFFEA(\always0~48  & (\page~1596 ) # !\always0~48  & \page~1597  # !atm_pen, GLOBAL(\fclk~dataout ), , , , , )
11837
 
11838
	.dataa(atm_pen),
11839
	.datab(\always0~48 ),
11840
	.datac(\page~1597 ),
11841
	.datad(\page~1596 ),
11842
	.aclr(gnd),
11843
	.aload(gnd),
11844
	.clk(fclk),
11845
	.cin(gnd),
11846
	.cascin(vcc),
11847
	.devclrn(devclrn),
11848
	.devpor(devpor),
11849
	.combout(),
11850
	.regout(page_0),
11851
	.cout(),
11852
	.cascout());
11853
// synopsys translate_off
11854
defparam \page[0]~I .clock_enable_mode = "false";
11855
defparam \page[0]~I .lut_mask = "fd75";
11856
defparam \page[0]~I .operation_mode = "normal";
11857
defparam \page[0]~I .output_mode = "reg_only";
11858
defparam \page[0]~I .packed_mode = "false";
11859
// synopsys translate_on
11860
 
11861
// atom is at LC8_B4
11862
flex10ke_lcell \page[1]~I (
11863
// Equation(s):
11864
// page_1 = DFFEA(\page~1600  & (p7ffd_int_1) # !\page~1600  & \page~1599  # !atm_pen, GLOBAL(\fclk~dataout ), , , , , )
11865
 
11866
	.dataa(atm_pen),
11867
	.datab(\page~1600 ),
11868
	.datac(\page~1599 ),
11869
	.datad(p7ffd_int_1),
11870
	.aclr(gnd),
11871
	.aload(gnd),
11872
	.clk(fclk),
11873
	.cin(gnd),
11874
	.cascin(vcc),
11875
	.devclrn(devclrn),
11876
	.devpor(devpor),
11877
	.combout(),
11878
	.regout(page_1),
11879
	.cout(),
11880
	.cascout());
11881
// synopsys translate_off
11882
defparam \page[1]~I .clock_enable_mode = "false";
11883
defparam \page[1]~I .lut_mask = "fd75";
11884
defparam \page[1]~I .operation_mode = "normal";
11885
defparam \page[1]~I .output_mode = "reg_only";
11886
defparam \page[1]~I .packed_mode = "false";
11887
// synopsys translate_on
11888
 
11889
// atom is at LC3_B4
11890
flex10ke_lcell \page[2]~I (
11891
// Equation(s):
11892
// page_2 = DFFEA(\page~1600  & (p7ffd_int_2) # !\page~1600  & \page~1602  # !atm_pen, GLOBAL(\fclk~dataout ), , , , , )
11893
 
11894
	.dataa(atm_pen),
11895
	.datab(\page~1600 ),
11896
	.datac(\page~1602 ),
11897
	.datad(p7ffd_int_2),
11898
	.aclr(gnd),
11899
	.aload(gnd),
11900
	.clk(fclk),
11901
	.cin(gnd),
11902
	.cascin(vcc),
11903
	.devclrn(devclrn),
11904
	.devpor(devpor),
11905
	.combout(),
11906
	.regout(page_2),
11907
	.cout(),
11908
	.cascout());
11909
// synopsys translate_off
11910
defparam \page[2]~I .clock_enable_mode = "false";
11911
defparam \page[2]~I .lut_mask = "fd75";
11912
defparam \page[2]~I .operation_mode = "normal";
11913
defparam \page[2]~I .output_mode = "reg_only";
11914
defparam \page[2]~I .packed_mode = "false";
11915
// synopsys translate_on
11916
 
11917
// atom is at LC4_B6
11918
flex10ke_lcell \page[3]~I (
11919
// Equation(s):
11920
// page_3 = DFFEA(\page~1605  & (p7ffd_int_5) # !\page~1605  & \page~1604  # !atm_pen, GLOBAL(\fclk~dataout ), , , , , )
11921
 
11922
	.dataa(atm_pen),
11923
	.datab(\page~1605 ),
11924
	.datac(\page~1604 ),
11925
	.datad(p7ffd_int_5),
11926
	.aclr(gnd),
11927
	.aload(gnd),
11928
	.clk(fclk),
11929
	.cin(gnd),
11930
	.cascin(vcc),
11931
	.devclrn(devclrn),
11932
	.devpor(devpor),
11933
	.combout(),
11934
	.regout(page_3),
11935
	.cout(),
11936
	.cascout());
11937
// synopsys translate_off
11938
defparam \page[3]~I .clock_enable_mode = "false";
11939
defparam \page[3]~I .lut_mask = "fd75";
11940
defparam \page[3]~I .operation_mode = "normal";
11941
defparam \page[3]~I .output_mode = "reg_only";
11942
defparam \page[3]~I .packed_mode = "false";
11943
// synopsys translate_on
11944
 
11945
// atom is at LC5_B6
11946
flex10ke_lcell \page[4]~I (
11947
// Equation(s):
11948
// page_4 = DFFEA(\page~1605  & (p7ffd_int_6) # !\page~1605  & \page~1607  # !atm_pen, GLOBAL(\fclk~dataout ), , , , , )
11949
 
11950
	.dataa(atm_pen),
11951
	.datab(\page~1605 ),
11952
	.datac(\page~1607 ),
11953
	.datad(p7ffd_int_6),
11954
	.aclr(gnd),
11955
	.aload(gnd),
11956
	.clk(fclk),
11957
	.cin(gnd),
11958
	.cascin(vcc),
11959
	.devclrn(devclrn),
11960
	.devpor(devpor),
11961
	.combout(),
11962
	.regout(page_4),
11963
	.cout(),
11964
	.cascout());
11965
// synopsys translate_off
11966
defparam \page[4]~I .clock_enable_mode = "false";
11967
defparam \page[4]~I .lut_mask = "fd75";
11968
defparam \page[4]~I .operation_mode = "normal";
11969
defparam \page[4]~I .output_mode = "reg_only";
11970
defparam \page[4]~I .packed_mode = "false";
11971
// synopsys translate_on
11972
 
11973
// atom is at LC1_A6
11974
flex10ke_lcell \stall_count[2]~I (
11975
// Equation(s):
11976
// stall_count_2 = DFFEA(dos_exec_stb1 # stall_count_2 & (!stall_count[1] # !stall_count[0]), GLOBAL(\fclk~dataout ), , , , , )
11977
 
11978
	.dataa(stall_count[0]),
11979
	.datab(stall_count[1]),
11980
	.datac(stall_count_2),
11981
	.datad(dos_exec_stb1),
11982
	.aclr(gnd),
11983
	.aload(gnd),
11984
	.clk(fclk),
11985
	.cin(gnd),
11986
	.cascin(vcc),
11987
	.devclrn(devclrn),
11988
	.devpor(devpor),
11989
	.combout(),
11990
	.regout(stall_count_2),
11991
	.cout(),
11992
	.cascout());
11993
// synopsys translate_off
11994
defparam \stall_count[2]~I .clock_enable_mode = "false";
11995
defparam \stall_count[2]~I .lut_mask = "ff70";
11996
defparam \stall_count[2]~I .operation_mode = "normal";
11997
defparam \stall_count[2]~I .output_mode = "reg_only";
11998
defparam \stall_count[2]~I .packed_mode = "false";
11999
// synopsys translate_on
12000
 
12001
// atom is at LC5_A2
12002
flex10ke_lcell \dos_exec_stb~67_I (
12003
// Equation(s):
12004
// dos_exec_stb1 = !ramnrom[1] & dos_7ffd[1] & pre_bc1 & dos_exec_stb
12005
 
12006
	.dataa(ramnrom[1]),
12007
	.datab(dos_7ffd[1]),
12008
	.datac(pre_bc1),
12009
	.datad(dos_exec_stb),
12010
	.aclr(gnd),
12011
	.aload(gnd),
12012
	.clk(gnd),
12013
	.cin(gnd),
12014
	.cascin(vcc),
12015
	.devclrn(devclrn),
12016
	.devpor(devpor),
12017
	.combout(dos_exec_stb1),
12018
	.regout(),
12019
	.cout(),
12020
	.cascout());
12021
// synopsys translate_off
12022
defparam \dos_exec_stb~67_I .clock_enable_mode = "false";
12023
defparam \dos_exec_stb~67_I .lut_mask = "4000";
12024
defparam \dos_exec_stb~67_I .operation_mode = "normal";
12025
defparam \dos_exec_stb~67_I .output_mode = "comb_only";
12026
defparam \dos_exec_stb~67_I .packed_mode = "false";
12027
// synopsys translate_on
12028
 
12029
// atom is at LC2_A7
12030
flex10ke_lcell \dos_turn_off~39_I (
12031
// Equation(s):
12032
// dos_turn_off = \a~dataout [15] & \a~dataout [14] & \always0~47 
12033
 
12034
	.dataa(vcc),
12035
	.datab(a_15),
12036
	.datac(a_14),
12037
	.datad(\always0~47 ),
12038
	.aclr(gnd),
12039
	.aload(gnd),
12040
	.clk(gnd),
12041
	.cin(gnd),
12042
	.cascin(vcc),
12043
	.devclrn(devclrn),
12044
	.devpor(devpor),
12045
	.combout(dos_turn_off),
12046
	.regout(),
12047
	.cout(),
12048
	.cascout());
12049
// synopsys translate_off
12050
defparam \dos_turn_off~39_I .clock_enable_mode = "false";
12051
defparam \dos_turn_off~39_I .lut_mask = "c000";
12052
defparam \dos_turn_off~39_I .operation_mode = "normal";
12053
defparam \dos_turn_off~39_I .output_mode = "comb_only";
12054
defparam \dos_turn_off~39_I .packed_mode = "false";
12055
// synopsys translate_on
12056
 
12057
// atom is at LC8_B6
12058
flex10ke_lcell \page[5]~I (
12059
// Equation(s):
12060
// page_5 = DFFEA(\page~1605  & (p7ffd_int_7) # !\page~1605  & \page~1609  # !atm_pen, GLOBAL(\fclk~dataout ), , , , , )
12061
 
12062
	.dataa(atm_pen),
12063
	.datab(\page~1605 ),
12064
	.datac(\page~1609 ),
12065
	.datad(p7ffd_int_7),
12066
	.aclr(gnd),
12067
	.aload(gnd),
12068
	.clk(fclk),
12069
	.cin(gnd),
12070
	.cascin(vcc),
12071
	.devclrn(devclrn),
12072
	.devpor(devpor),
12073
	.combout(),
12074
	.regout(page_5),
12075
	.cout(),
12076
	.cascout());
12077
// synopsys translate_off
12078
defparam \page[5]~I .clock_enable_mode = "false";
12079
defparam \page[5]~I .lut_mask = "fd75";
12080
defparam \page[5]~I .operation_mode = "normal";
12081
defparam \page[5]~I .output_mode = "reg_only";
12082
defparam \page[5]~I .packed_mode = "false";
12083
// synopsys translate_on
12084
 
12085
// atom is at LC2_D21
12086
flex10ke_lcell \page[6]~I (
12087
// Equation(s):
12088
// page_6 = DFFEA(p7ffd_int_4 & (\pages[1][6] ) # !p7ffd_int_4 & \pages[0][6]  # !atm_pen, GLOBAL(\fclk~dataout ), , , , , )
12089
 
12090
	.dataa(atm_pen),
12091
	.datab(p7ffd_int_4),
12092
	.datac(\pages[0][6] ),
12093
	.datad(\pages[1][6] ),
12094
	.aclr(gnd),
12095
	.aload(gnd),
12096
	.clk(fclk),
12097
	.cin(gnd),
12098
	.cascin(vcc),
12099
	.devclrn(devclrn),
12100
	.devpor(devpor),
12101
	.combout(),
12102
	.regout(page_6),
12103
	.cout(),
12104
	.cascout());
12105
// synopsys translate_off
12106
defparam \page[6]~I .clock_enable_mode = "false";
12107
defparam \page[6]~I .lut_mask = "fd75";
12108
defparam \page[6]~I .operation_mode = "normal";
12109
defparam \page[6]~I .output_mode = "reg_only";
12110
defparam \page[6]~I .packed_mode = "false";
12111
// synopsys translate_on
12112
 
12113
// atom is at LC5_D21
12114
flex10ke_lcell \page[7]~I (
12115
// Equation(s):
12116
// page_7 = DFFEA(p7ffd_int_4 & (\pages[1][7] ) # !p7ffd_int_4 & \pages[0][7]  # !atm_pen, GLOBAL(\fclk~dataout ), , , , , )
12117
 
12118
	.dataa(atm_pen),
12119
	.datab(p7ffd_int_4),
12120
	.datac(\pages[0][7] ),
12121
	.datad(\pages[1][7] ),
12122
	.aclr(gnd),
12123
	.aload(gnd),
12124
	.clk(fclk),
12125
	.cin(gnd),
12126
	.cascin(vcc),
12127
	.devclrn(devclrn),
12128
	.devpor(devpor),
12129
	.combout(),
12130
	.regout(page_7),
12131
	.cout(),
12132
	.cascout());
12133
// synopsys translate_off
12134
defparam \page[7]~I .clock_enable_mode = "false";
12135
defparam \page[7]~I .lut_mask = "fd75";
12136
defparam \page[7]~I .operation_mode = "normal";
12137
defparam \page[7]~I .output_mode = "reg_only";
12138
defparam \page[7]~I .packed_mode = "false";
12139
// synopsys translate_on
12140
 
12141
// atom is at LC8_C21
12142
flex10ke_lcell \ramnrom[1]~64_I (
12143
// Equation(s):
12144
// \ramnrom[1]~64  = \a~dataout [15] & \a~dataout [14] & p7ffd_int_4 & atmF7_wr_fclk
12145
 
12146
	.dataa(a_15),
12147
	.datab(a_14),
12148
	.datac(p7ffd_int_4),
12149
	.datad(atmF7_wr_fclk),
12150
	.aclr(gnd),
12151
	.aload(gnd),
12152
	.clk(gnd),
12153
	.cin(gnd),
12154
	.cascin(vcc),
12155
	.devclrn(devclrn),
12156
	.devpor(devpor),
12157
	.combout(\ramnrom[1]~64 ),
12158
	.regout(),
12159
	.cout(),
12160
	.cascout());
12161
// synopsys translate_off
12162
defparam \ramnrom[1]~64_I .clock_enable_mode = "false";
12163
defparam \ramnrom[1]~64_I .lut_mask = "8000";
12164
defparam \ramnrom[1]~64_I .operation_mode = "normal";
12165
defparam \ramnrom[1]~64_I .output_mode = "comb_only";
12166
defparam \ramnrom[1]~64_I .packed_mode = "false";
12167
// synopsys translate_on
12168
 
12169
// atom is at LC5_A10
12170
flex10ke_lcell \ramnrom[1]~I (
12171
// Equation(s):
12172
// ramnrom[1] = DFFEA(\d[6]~1  # !\a~dataout [11], GLOBAL(\fclk~dataout ), , , \ramnrom[1]~64 , , )
12173
 
12174
	.dataa(\ramnrom[1]~64 ),
12175
	.datab(vcc),
12176
	.datac(a_11),
12177
	.datad(d_6),
12178
	.aclr(gnd),
12179
	.aload(gnd),
12180
	.clk(fclk),
12181
	.cin(gnd),
12182
	.cascin(vcc),
12183
	.devclrn(devclrn),
12184
	.devpor(devpor),
12185
	.combout(),
12186
	.regout(ramnrom[1]),
12187
	.cout(),
12188
	.cascout());
12189
// synopsys translate_off
12190
defparam \ramnrom[1]~I .clock_enable_mode = "true";
12191
defparam \ramnrom[1]~I .lut_mask = "ff0f";
12192
defparam \ramnrom[1]~I .operation_mode = "normal";
12193
defparam \ramnrom[1]~I .output_mode = "reg_only";
12194
defparam \ramnrom[1]~I .packed_mode = "false";
12195
// synopsys translate_on
12196
 
12197
// atom is at LC7_C21
12198
flex10ke_lcell \ramnrom[0]~63_I (
12199
// Equation(s):
12200
// \ramnrom[0]~63  = !p7ffd_int_4 & \a~dataout [15] & \a~dataout [14] & atmF7_wr_fclk
12201
 
12202
	.dataa(p7ffd_int_4),
12203
	.datab(a_15),
12204
	.datac(a_14),
12205
	.datad(atmF7_wr_fclk),
12206
	.aclr(gnd),
12207
	.aload(gnd),
12208
	.clk(gnd),
12209
	.cin(gnd),
12210
	.cascin(vcc),
12211
	.devclrn(devclrn),
12212
	.devpor(devpor),
12213
	.combout(\ramnrom[0]~63 ),
12214
	.regout(),
12215
	.cout(),
12216
	.cascout());
12217
// synopsys translate_off
12218
defparam \ramnrom[0]~63_I .clock_enable_mode = "false";
12219
defparam \ramnrom[0]~63_I .lut_mask = "4000";
12220
defparam \ramnrom[0]~63_I .operation_mode = "normal";
12221
defparam \ramnrom[0]~63_I .output_mode = "comb_only";
12222
defparam \ramnrom[0]~63_I .packed_mode = "false";
12223
// synopsys translate_on
12224
 
12225
// atom is at LC6_A10
12226
flex10ke_lcell \ramnrom[0]~I (
12227
// Equation(s):
12228
// ramnrom[0] = DFFEA(\d[6]~1  # !\a~dataout [11], GLOBAL(\fclk~dataout ), , , \ramnrom[0]~63 , , )
12229
 
12230
	.dataa(\ramnrom[0]~63 ),
12231
	.datab(vcc),
12232
	.datac(a_11),
12233
	.datad(d_6),
12234
	.aclr(gnd),
12235
	.aload(gnd),
12236
	.clk(fclk),
12237
	.cin(gnd),
12238
	.cascin(vcc),
12239
	.devclrn(devclrn),
12240
	.devpor(devpor),
12241
	.combout(),
12242
	.regout(ramnrom[0]),
12243
	.cout(),
12244
	.cascout());
12245
// synopsys translate_off
12246
defparam \ramnrom[0]~I .clock_enable_mode = "true";
12247
defparam \ramnrom[0]~I .lut_mask = "ff0f";
12248
defparam \ramnrom[0]~I .operation_mode = "normal";
12249
defparam \ramnrom[0]~I .output_mode = "reg_only";
12250
defparam \ramnrom[0]~I .packed_mode = "false";
12251
// synopsys translate_on
12252
 
12253
// atom is at LC3_A11
12254
flex10ke_lcell \dos_7ffd[0]~I (
12255
// Equation(s):
12256
// dos_7ffd[0] = DFFEA(\d[7]~0 , GLOBAL(\fclk~dataout ), , , \dos_7ffd[0]~59 , , )
12257
 
12258
	.dataa(\dos_7ffd[0]~59 ),
12259
	.datab(vcc),
12260
	.datac(vcc),
12261
	.datad(d_7),
12262
	.aclr(gnd),
12263
	.aload(gnd),
12264
	.clk(fclk),
12265
	.cin(gnd),
12266
	.cascin(vcc),
12267
	.devclrn(devclrn),
12268
	.devpor(devpor),
12269
	.combout(),
12270
	.regout(dos_7ffd[0]),
12271
	.cout(),
12272
	.cascout());
12273
// synopsys translate_off
12274
defparam \dos_7ffd[0]~I .clock_enable_mode = "true";
12275
defparam \dos_7ffd[0]~I .lut_mask = "ff00";
12276
defparam \dos_7ffd[0]~I .operation_mode = "normal";
12277
defparam \dos_7ffd[0]~I .output_mode = "reg_only";
12278
defparam \dos_7ffd[0]~I .packed_mode = "false";
12279
// synopsys translate_on
12280
 
12281
// atom is at LC3_A16
12282
flex10ke_lcell \dos_7ffd[1]~I (
12283
// Equation(s):
12284
// dos_7ffd[1] = DFFEA(\d[7]~0 , GLOBAL(\fclk~dataout ), , , \dos_7ffd[1]~58 , , )
12285
 
12286
	.dataa(\dos_7ffd[1]~58 ),
12287
	.datab(vcc),
12288
	.datac(vcc),
12289
	.datad(d_7),
12290
	.aclr(gnd),
12291
	.aload(gnd),
12292
	.clk(fclk),
12293
	.cin(gnd),
12294
	.cascin(vcc),
12295
	.devclrn(devclrn),
12296
	.devpor(devpor),
12297
	.combout(),
12298
	.regout(dos_7ffd[1]),
12299
	.cout(),
12300
	.cascout());
12301
// synopsys translate_off
12302
defparam \dos_7ffd[1]~I .clock_enable_mode = "true";
12303
defparam \dos_7ffd[1]~I .lut_mask = "ff00";
12304
defparam \dos_7ffd[1]~I .operation_mode = "normal";
12305
defparam \dos_7ffd[1]~I .output_mode = "reg_only";
12306
defparam \dos_7ffd[1]~I .packed_mode = "false";
12307
// synopsys translate_on
12308
 
12309
// atom is at LC8_A10
12310
flex10ke_lcell \always0~48_I (
12311
// Equation(s):
12312
// \always0~48  = p7ffd_int_4 & (dos_7ffd[1]) # !p7ffd_int_4 & dos_7ffd[0]
12313
 
12314
	.dataa(vcc),
12315
	.datab(p7ffd_int_4),
12316
	.datac(dos_7ffd[0]),
12317
	.datad(dos_7ffd[1]),
12318
	.aclr(gnd),
12319
	.aload(gnd),
12320
	.clk(gnd),
12321
	.cin(gnd),
12322
	.cascin(vcc),
12323
	.devclrn(devclrn),
12324
	.devpor(devpor),
12325
	.combout(\always0~48 ),
12326
	.regout(),
12327
	.cout(),
12328
	.cascout());
12329
// synopsys translate_off
12330
defparam \always0~48_I .clock_enable_mode = "false";
12331
defparam \always0~48_I .lut_mask = "fc30";
12332
defparam \always0~48_I .operation_mode = "normal";
12333
defparam \always0~48_I .output_mode = "comb_only";
12334
defparam \always0~48_I .packed_mode = "false";
12335
// synopsys translate_on
12336
 
12337
// atom is at LC3_B11
12338
flex10ke_lcell \pages[0][0]~I (
12339
// Equation(s):
12340
// \pages[0][0]  = DFFEA(!\d[0]~7 , GLOBAL(\fclk~dataout ), , , \ramnrom[0]~63 , , )
12341
 
12342
	.dataa(\ramnrom[0]~63 ),
12343
	.datab(vcc),
12344
	.datac(vcc),
12345
	.datad(d_0),
12346
	.aclr(gnd),
12347
	.aload(gnd),
12348
	.clk(fclk),
12349
	.cin(gnd),
12350
	.cascin(vcc),
12351
	.devclrn(devclrn),
12352
	.devpor(devpor),
12353
	.combout(),
12354
	.regout(\pages[0][0] ),
12355
	.cout(),
12356
	.cascout());
12357
// synopsys translate_off
12358
defparam \pages[0][0]~I .clock_enable_mode = "true";
12359
defparam \pages[0][0]~I .lut_mask = "00ff";
12360
defparam \pages[0][0]~I .operation_mode = "normal";
12361
defparam \pages[0][0]~I .output_mode = "reg_only";
12362
defparam \pages[0][0]~I .packed_mode = "false";
12363
// synopsys translate_on
12364
 
12365
// atom is at LC2_B11
12366
flex10ke_lcell \pages[1][0]~I (
12367
// Equation(s):
12368
// \pages[1][0]  = DFFEA(!\d[0]~7 , GLOBAL(\fclk~dataout ), , , \ramnrom[1]~64 , , )
12369
 
12370
	.dataa(\ramnrom[1]~64 ),
12371
	.datab(vcc),
12372
	.datac(vcc),
12373
	.datad(d_0),
12374
	.aclr(gnd),
12375
	.aload(gnd),
12376
	.clk(fclk),
12377
	.cin(gnd),
12378
	.cascin(vcc),
12379
	.devclrn(devclrn),
12380
	.devpor(devpor),
12381
	.combout(),
12382
	.regout(\pages[1][0] ),
12383
	.cout(),
12384
	.cascout());
12385
// synopsys translate_off
12386
defparam \pages[1][0]~I .clock_enable_mode = "true";
12387
defparam \pages[1][0]~I .lut_mask = "00ff";
12388
defparam \pages[1][0]~I .operation_mode = "normal";
12389
defparam \pages[1][0]~I .output_mode = "reg_only";
12390
defparam \pages[1][0]~I .packed_mode = "false";
12391
// synopsys translate_on
12392
 
12393
// atom is at LC1_B11
12394
flex10ke_lcell \page~1597_I (
12395
// Equation(s):
12396
// \page~1597  = p7ffd_int_4 & (\pages[1][0] ) # !p7ffd_int_4 & \pages[0][0] 
12397
 
12398
	.dataa(vcc),
12399
	.datab(p7ffd_int_4),
12400
	.datac(\pages[0][0] ),
12401
	.datad(\pages[1][0] ),
12402
	.aclr(gnd),
12403
	.aload(gnd),
12404
	.clk(gnd),
12405
	.cin(gnd),
12406
	.cascin(vcc),
12407
	.devclrn(devclrn),
12408
	.devpor(devpor),
12409
	.combout(\page~1597 ),
12410
	.regout(),
12411
	.cout(),
12412
	.cascout());
12413
// synopsys translate_off
12414
defparam \page~1597_I .clock_enable_mode = "false";
12415
defparam \page~1597_I .lut_mask = "fc30";
12416
defparam \page~1597_I .operation_mode = "normal";
12417
defparam \page~1597_I .output_mode = "comb_only";
12418
defparam \page~1597_I .packed_mode = "false";
12419
// synopsys translate_on
12420
 
12421
// atom is at LC1_A10
12422
flex10ke_lcell \always0~47_I (
12423
// Equation(s):
12424
// \always0~47  = p7ffd_int_4 & (ramnrom[1]) # !p7ffd_int_4 & ramnrom[0]
12425
 
12426
	.dataa(vcc),
12427
	.datab(p7ffd_int_4),
12428
	.datac(ramnrom[0]),
12429
	.datad(ramnrom[1]),
12430
	.aclr(gnd),
12431
	.aload(gnd),
12432
	.clk(gnd),
12433
	.cin(gnd),
12434
	.cascin(vcc),
12435
	.devclrn(devclrn),
12436
	.devpor(devpor),
12437
	.combout(\always0~47 ),
12438
	.regout(),
12439
	.cout(),
12440
	.cascout());
12441
// synopsys translate_off
12442
defparam \always0~47_I .clock_enable_mode = "false";
12443
defparam \always0~47_I .lut_mask = "fc30";
12444
defparam \always0~47_I .operation_mode = "normal";
12445
defparam \always0~47_I .output_mode = "comb_only";
12446
defparam \always0~47_I .packed_mode = "false";
12447
// synopsys translate_on
12448
 
12449
// atom is at LC7_A10
12450
flex10ke_lcell \page~1596_I (
12451
// Equation(s):
12452
// \page~1596  = \always0~47  & (p7ffd_int_0) # !\always0~47  & !dos
12453
 
12454
	.dataa(vcc),
12455
	.datab(dos),
12456
	.datac(\always0~47 ),
12457
	.datad(p7ffd_int_0),
12458
	.aclr(gnd),
12459
	.aload(gnd),
12460
	.clk(gnd),
12461
	.cin(gnd),
12462
	.cascin(vcc),
12463
	.devclrn(devclrn),
12464
	.devpor(devpor),
12465
	.combout(\page~1596 ),
12466
	.regout(),
12467
	.cout(),
12468
	.cascout());
12469
// synopsys translate_off
12470
defparam \page~1596_I .clock_enable_mode = "false";
12471
defparam \page~1596_I .lut_mask = "f303";
12472
defparam \page~1596_I .operation_mode = "normal";
12473
defparam \page~1596_I .output_mode = "comb_only";
12474
defparam \page~1596_I .packed_mode = "false";
12475
// synopsys translate_on
12476
 
12477
// atom is at LC2_A10
12478
flex10ke_lcell \page~1600_I (
12479
// Equation(s):
12480
// \page~1600  = \always0~48  & (p7ffd_int_4 & (ramnrom[1]) # !p7ffd_int_4 & ramnrom[0])
12481
 
12482
	.dataa(p7ffd_int_4),
12483
	.datab(ramnrom[0]),
12484
	.datac(ramnrom[1]),
12485
	.datad(\always0~48 ),
12486
	.aclr(gnd),
12487
	.aload(gnd),
12488
	.clk(gnd),
12489
	.cin(gnd),
12490
	.cascin(vcc),
12491
	.devclrn(devclrn),
12492
	.devpor(devpor),
12493
	.combout(\page~1600 ),
12494
	.regout(),
12495
	.cout(),
12496
	.cascout());
12497
// synopsys translate_off
12498
defparam \page~1600_I .clock_enable_mode = "false";
12499
defparam \page~1600_I .lut_mask = "e400";
12500
defparam \page~1600_I .operation_mode = "normal";
12501
defparam \page~1600_I .output_mode = "comb_only";
12502
defparam \page~1600_I .packed_mode = "false";
12503
// synopsys translate_on
12504
 
12505
// atom is at LC5_B11
12506
flex10ke_lcell \pages[0][1]~I (
12507
// Equation(s):
12508
// \pages[0][1]  = DFFEA(!\d[1]~6 , GLOBAL(\fclk~dataout ), , , \ramnrom[0]~63 , , )
12509
 
12510
	.dataa(\ramnrom[0]~63 ),
12511
	.datab(vcc),
12512
	.datac(vcc),
12513
	.datad(d_1),
12514
	.aclr(gnd),
12515
	.aload(gnd),
12516
	.clk(fclk),
12517
	.cin(gnd),
12518
	.cascin(vcc),
12519
	.devclrn(devclrn),
12520
	.devpor(devpor),
12521
	.combout(),
12522
	.regout(\pages[0][1] ),
12523
	.cout(),
12524
	.cascout());
12525
// synopsys translate_off
12526
defparam \pages[0][1]~I .clock_enable_mode = "true";
12527
defparam \pages[0][1]~I .lut_mask = "00ff";
12528
defparam \pages[0][1]~I .operation_mode = "normal";
12529
defparam \pages[0][1]~I .output_mode = "reg_only";
12530
defparam \pages[0][1]~I .packed_mode = "false";
12531
// synopsys translate_on
12532
 
12533
// atom is at LC4_B11
12534
flex10ke_lcell \pages[1][1]~I (
12535
// Equation(s):
12536
// \pages[1][1]  = DFFEA(!\d[1]~6 , GLOBAL(\fclk~dataout ), , , \ramnrom[1]~64 , , )
12537
 
12538
	.dataa(\ramnrom[1]~64 ),
12539
	.datab(vcc),
12540
	.datac(vcc),
12541
	.datad(d_1),
12542
	.aclr(gnd),
12543
	.aload(gnd),
12544
	.clk(fclk),
12545
	.cin(gnd),
12546
	.cascin(vcc),
12547
	.devclrn(devclrn),
12548
	.devpor(devpor),
12549
	.combout(),
12550
	.regout(\pages[1][1] ),
12551
	.cout(),
12552
	.cascout());
12553
// synopsys translate_off
12554
defparam \pages[1][1]~I .clock_enable_mode = "true";
12555
defparam \pages[1][1]~I .lut_mask = "00ff";
12556
defparam \pages[1][1]~I .operation_mode = "normal";
12557
defparam \pages[1][1]~I .output_mode = "reg_only";
12558
defparam \pages[1][1]~I .packed_mode = "false";
12559
// synopsys translate_on
12560
 
12561
// atom is at LC6_B11
12562
flex10ke_lcell \page~1599_I (
12563
// Equation(s):
12564
// \page~1599  = p7ffd_int_4 & (\pages[1][1] ) # !p7ffd_int_4 & \pages[0][1] 
12565
 
12566
	.dataa(vcc),
12567
	.datab(p7ffd_int_4),
12568
	.datac(\pages[0][1] ),
12569
	.datad(\pages[1][1] ),
12570
	.aclr(gnd),
12571
	.aload(gnd),
12572
	.clk(gnd),
12573
	.cin(gnd),
12574
	.cascin(vcc),
12575
	.devclrn(devclrn),
12576
	.devpor(devpor),
12577
	.combout(\page~1599 ),
12578
	.regout(),
12579
	.cout(),
12580
	.cascout());
12581
// synopsys translate_off
12582
defparam \page~1599_I .clock_enable_mode = "false";
12583
defparam \page~1599_I .lut_mask = "fc30";
12584
defparam \page~1599_I .operation_mode = "normal";
12585
defparam \page~1599_I .output_mode = "comb_only";
12586
defparam \page~1599_I .packed_mode = "false";
12587
// synopsys translate_on
12588
 
12589
// atom is at LC4_B2
12590
flex10ke_lcell \pages[0][2]~I (
12591
// Equation(s):
12592
// \pages[0][2]  = DFFEA(!\d[2]~5 , GLOBAL(\fclk~dataout ), , , \ramnrom[0]~63 , , )
12593
 
12594
	.dataa(\ramnrom[0]~63 ),
12595
	.datab(vcc),
12596
	.datac(vcc),
12597
	.datad(d_2),
12598
	.aclr(gnd),
12599
	.aload(gnd),
12600
	.clk(fclk),
12601
	.cin(gnd),
12602
	.cascin(vcc),
12603
	.devclrn(devclrn),
12604
	.devpor(devpor),
12605
	.combout(),
12606
	.regout(\pages[0][2] ),
12607
	.cout(),
12608
	.cascout());
12609
// synopsys translate_off
12610
defparam \pages[0][2]~I .clock_enable_mode = "true";
12611
defparam \pages[0][2]~I .lut_mask = "00ff";
12612
defparam \pages[0][2]~I .operation_mode = "normal";
12613
defparam \pages[0][2]~I .output_mode = "reg_only";
12614
defparam \pages[0][2]~I .packed_mode = "false";
12615
// synopsys translate_on
12616
 
12617
// atom is at LC3_B2
12618
flex10ke_lcell \pages[1][2]~I (
12619
// Equation(s):
12620
// \pages[1][2]  = DFFEA(!\d[2]~5 , GLOBAL(\fclk~dataout ), , , \ramnrom[1]~64 , , )
12621
 
12622
	.dataa(\ramnrom[1]~64 ),
12623
	.datab(vcc),
12624
	.datac(vcc),
12625
	.datad(d_2),
12626
	.aclr(gnd),
12627
	.aload(gnd),
12628
	.clk(fclk),
12629
	.cin(gnd),
12630
	.cascin(vcc),
12631
	.devclrn(devclrn),
12632
	.devpor(devpor),
12633
	.combout(),
12634
	.regout(\pages[1][2] ),
12635
	.cout(),
12636
	.cascout());
12637
// synopsys translate_off
12638
defparam \pages[1][2]~I .clock_enable_mode = "true";
12639
defparam \pages[1][2]~I .lut_mask = "00ff";
12640
defparam \pages[1][2]~I .operation_mode = "normal";
12641
defparam \pages[1][2]~I .output_mode = "reg_only";
12642
defparam \pages[1][2]~I .packed_mode = "false";
12643
// synopsys translate_on
12644
 
12645
// atom is at LC1_B2
12646
flex10ke_lcell \page~1602_I (
12647
// Equation(s):
12648
// \page~1602  = p7ffd_int_4 & (\pages[1][2] ) # !p7ffd_int_4 & \pages[0][2] 
12649
 
12650
	.dataa(vcc),
12651
	.datab(p7ffd_int_4),
12652
	.datac(\pages[0][2] ),
12653
	.datad(\pages[1][2] ),
12654
	.aclr(gnd),
12655
	.aload(gnd),
12656
	.clk(gnd),
12657
	.cin(gnd),
12658
	.cascin(vcc),
12659
	.devclrn(devclrn),
12660
	.devpor(devpor),
12661
	.combout(\page~1602 ),
12662
	.regout(),
12663
	.cout(),
12664
	.cascout());
12665
// synopsys translate_off
12666
defparam \page~1602_I .clock_enable_mode = "false";
12667
defparam \page~1602_I .lut_mask = "fc30";
12668
defparam \page~1602_I .operation_mode = "normal";
12669
defparam \page~1602_I .output_mode = "comb_only";
12670
defparam \page~1602_I .packed_mode = "false";
12671
// synopsys translate_on
12672
 
12673
// atom is at LC6_B6
12674
flex10ke_lcell \page~1605_I (
12675
// Equation(s):
12676
// \page~1605  = !peff7_int_2 & \page~1600 
12677
 
12678
	.dataa(vcc),
12679
	.datab(vcc),
12680
	.datac(peff7_int_2),
12681
	.datad(\page~1600 ),
12682
	.aclr(gnd),
12683
	.aload(gnd),
12684
	.clk(gnd),
12685
	.cin(gnd),
12686
	.cascin(vcc),
12687
	.devclrn(devclrn),
12688
	.devpor(devpor),
12689
	.combout(\page~1605 ),
12690
	.regout(),
12691
	.cout(),
12692
	.cascout());
12693
// synopsys translate_off
12694
defparam \page~1605_I .clock_enable_mode = "false";
12695
defparam \page~1605_I .lut_mask = "0f00";
12696
defparam \page~1605_I .operation_mode = "normal";
12697
defparam \page~1605_I .output_mode = "comb_only";
12698
defparam \page~1605_I .packed_mode = "false";
12699
// synopsys translate_on
12700
 
12701
// atom is at LC4_B18
12702
flex10ke_lcell \pages[0][3]~I (
12703
// Equation(s):
12704
// \pages[0][3]  = DFFEA(!\d[3]~4 , GLOBAL(\fclk~dataout ), , , \ramnrom[0]~63 , , )
12705
 
12706
	.dataa(\ramnrom[0]~63 ),
12707
	.datab(vcc),
12708
	.datac(vcc),
12709
	.datad(d_3),
12710
	.aclr(gnd),
12711
	.aload(gnd),
12712
	.clk(fclk),
12713
	.cin(gnd),
12714
	.cascin(vcc),
12715
	.devclrn(devclrn),
12716
	.devpor(devpor),
12717
	.combout(),
12718
	.regout(\pages[0][3] ),
12719
	.cout(),
12720
	.cascout());
12721
// synopsys translate_off
12722
defparam \pages[0][3]~I .clock_enable_mode = "true";
12723
defparam \pages[0][3]~I .lut_mask = "00ff";
12724
defparam \pages[0][3]~I .operation_mode = "normal";
12725
defparam \pages[0][3]~I .output_mode = "reg_only";
12726
defparam \pages[0][3]~I .packed_mode = "false";
12727
// synopsys translate_on
12728
 
12729
// atom is at LC3_B18
12730
flex10ke_lcell \pages[1][3]~I (
12731
// Equation(s):
12732
// \pages[1][3]  = DFFEA(!\d[3]~4 , GLOBAL(\fclk~dataout ), , , \ramnrom[1]~64 , , )
12733
 
12734
	.dataa(\ramnrom[1]~64 ),
12735
	.datab(vcc),
12736
	.datac(vcc),
12737
	.datad(d_3),
12738
	.aclr(gnd),
12739
	.aload(gnd),
12740
	.clk(fclk),
12741
	.cin(gnd),
12742
	.cascin(vcc),
12743
	.devclrn(devclrn),
12744
	.devpor(devpor),
12745
	.combout(),
12746
	.regout(\pages[1][3] ),
12747
	.cout(),
12748
	.cascout());
12749
// synopsys translate_off
12750
defparam \pages[1][3]~I .clock_enable_mode = "true";
12751
defparam \pages[1][3]~I .lut_mask = "00ff";
12752
defparam \pages[1][3]~I .operation_mode = "normal";
12753
defparam \pages[1][3]~I .output_mode = "reg_only";
12754
defparam \pages[1][3]~I .packed_mode = "false";
12755
// synopsys translate_on
12756
 
12757
// atom is at LC2_B18
12758
flex10ke_lcell \page~1604_I (
12759
// Equation(s):
12760
// \page~1604  = p7ffd_int_4 & (\pages[1][3] ) # !p7ffd_int_4 & \pages[0][3] 
12761
 
12762
	.dataa(vcc),
12763
	.datab(p7ffd_int_4),
12764
	.datac(\pages[0][3] ),
12765
	.datad(\pages[1][3] ),
12766
	.aclr(gnd),
12767
	.aload(gnd),
12768
	.clk(gnd),
12769
	.cin(gnd),
12770
	.cascin(vcc),
12771
	.devclrn(devclrn),
12772
	.devpor(devpor),
12773
	.combout(\page~1604 ),
12774
	.regout(),
12775
	.cout(),
12776
	.cascout());
12777
// synopsys translate_off
12778
defparam \page~1604_I .clock_enable_mode = "false";
12779
defparam \page~1604_I .lut_mask = "fc30";
12780
defparam \page~1604_I .operation_mode = "normal";
12781
defparam \page~1604_I .output_mode = "comb_only";
12782
defparam \page~1604_I .packed_mode = "false";
12783
// synopsys translate_on
12784
 
12785
// atom is at LC6_B2
12786
flex10ke_lcell \pages[0][4]~I (
12787
// Equation(s):
12788
// \pages[0][4]  = DFFEA(!\d[4]~3 , GLOBAL(\fclk~dataout ), , , \ramnrom[0]~63 , , )
12789
 
12790
	.dataa(\ramnrom[0]~63 ),
12791
	.datab(vcc),
12792
	.datac(vcc),
12793
	.datad(d_4),
12794
	.aclr(gnd),
12795
	.aload(gnd),
12796
	.clk(fclk),
12797
	.cin(gnd),
12798
	.cascin(vcc),
12799
	.devclrn(devclrn),
12800
	.devpor(devpor),
12801
	.combout(),
12802
	.regout(\pages[0][4] ),
12803
	.cout(),
12804
	.cascout());
12805
// synopsys translate_off
12806
defparam \pages[0][4]~I .clock_enable_mode = "true";
12807
defparam \pages[0][4]~I .lut_mask = "00ff";
12808
defparam \pages[0][4]~I .operation_mode = "normal";
12809
defparam \pages[0][4]~I .output_mode = "reg_only";
12810
defparam \pages[0][4]~I .packed_mode = "false";
12811
// synopsys translate_on
12812
 
12813
// atom is at LC5_B2
12814
flex10ke_lcell \pages[1][4]~I (
12815
// Equation(s):
12816
// \pages[1][4]  = DFFEA(!\d[4]~3 , GLOBAL(\fclk~dataout ), , , \ramnrom[1]~64 , , )
12817
 
12818
	.dataa(\ramnrom[1]~64 ),
12819
	.datab(vcc),
12820
	.datac(vcc),
12821
	.datad(d_4),
12822
	.aclr(gnd),
12823
	.aload(gnd),
12824
	.clk(fclk),
12825
	.cin(gnd),
12826
	.cascin(vcc),
12827
	.devclrn(devclrn),
12828
	.devpor(devpor),
12829
	.combout(),
12830
	.regout(\pages[1][4] ),
12831
	.cout(),
12832
	.cascout());
12833
// synopsys translate_off
12834
defparam \pages[1][4]~I .clock_enable_mode = "true";
12835
defparam \pages[1][4]~I .lut_mask = "00ff";
12836
defparam \pages[1][4]~I .operation_mode = "normal";
12837
defparam \pages[1][4]~I .output_mode = "reg_only";
12838
defparam \pages[1][4]~I .packed_mode = "false";
12839
// synopsys translate_on
12840
 
12841
// atom is at LC2_B2
12842
flex10ke_lcell \page~1607_I (
12843
// Equation(s):
12844
// \page~1607  = p7ffd_int_4 & (\pages[1][4] ) # !p7ffd_int_4 & \pages[0][4] 
12845
 
12846
	.dataa(vcc),
12847
	.datab(p7ffd_int_4),
12848
	.datac(\pages[0][4] ),
12849
	.datad(\pages[1][4] ),
12850
	.aclr(gnd),
12851
	.aload(gnd),
12852
	.clk(gnd),
12853
	.cin(gnd),
12854
	.cascin(vcc),
12855
	.devclrn(devclrn),
12856
	.devpor(devpor),
12857
	.combout(\page~1607 ),
12858
	.regout(),
12859
	.cout(),
12860
	.cascout());
12861
// synopsys translate_off
12862
defparam \page~1607_I .clock_enable_mode = "false";
12863
defparam \page~1607_I .lut_mask = "fc30";
12864
defparam \page~1607_I .operation_mode = "normal";
12865
defparam \page~1607_I .output_mode = "comb_only";
12866
defparam \page~1607_I .packed_mode = "false";
12867
// synopsys translate_on
12868
 
12869
// atom is at LC3_A6
12870
flex10ke_lcell \stall_count[0]~I (
12871
// Equation(s):
12872
// stall_count[0] = DFFEA(dos_exec_stb1 # stall_count[0] $ stall_count_2, GLOBAL(\fclk~dataout ), , , , , )
12873
 
12874
	.dataa(vcc),
12875
	.datab(stall_count[0]),
12876
	.datac(stall_count_2),
12877
	.datad(dos_exec_stb1),
12878
	.aclr(gnd),
12879
	.aload(gnd),
12880
	.clk(fclk),
12881
	.cin(gnd),
12882
	.cascin(vcc),
12883
	.devclrn(devclrn),
12884
	.devpor(devpor),
12885
	.combout(),
12886
	.regout(stall_count[0]),
12887
	.cout(),
12888
	.cascout());
12889
// synopsys translate_off
12890
defparam \stall_count[0]~I .clock_enable_mode = "false";
12891
defparam \stall_count[0]~I .lut_mask = "ff3c";
12892
defparam \stall_count[0]~I .operation_mode = "normal";
12893
defparam \stall_count[0]~I .output_mode = "reg_only";
12894
defparam \stall_count[0]~I .packed_mode = "false";
12895
// synopsys translate_on
12896
 
12897
// atom is at LC4_A6
12898
flex10ke_lcell \stall_count[1]~39_I (
12899
// Equation(s):
12900
// \stall_count[1]~39  = !dos_exec_stb1
12901
 
12902
	.dataa(vcc),
12903
	.datab(vcc),
12904
	.datac(vcc),
12905
	.datad(dos_exec_stb1),
12906
	.aclr(gnd),
12907
	.aload(gnd),
12908
	.clk(gnd),
12909
	.cin(gnd),
12910
	.cascin(vcc),
12911
	.devclrn(devclrn),
12912
	.devpor(devpor),
12913
	.combout(\stall_count[1]~39 ),
12914
	.regout(),
12915
	.cout(),
12916
	.cascout());
12917
// synopsys translate_off
12918
defparam \stall_count[1]~39_I .clock_enable_mode = "false";
12919
defparam \stall_count[1]~39_I .lut_mask = "00ff";
12920
defparam \stall_count[1]~39_I .operation_mode = "normal";
12921
defparam \stall_count[1]~39_I .output_mode = "comb_only";
12922
defparam \stall_count[1]~39_I .packed_mode = "false";
12923
// synopsys translate_on
12924
 
12925
// atom is at LC2_A6
12926
flex10ke_lcell \stall_count[1]~I (
12927
// Equation(s):
12928
// stall_count[1] = DFFEA(stall_count[1] $ (stall_count[0] & stall_count_2), GLOBAL(\fclk~dataout ), , , \stall_count[1]~39 , , )
12929
 
12930
	.dataa(\stall_count[1]~39 ),
12931
	.datab(stall_count[0]),
12932
	.datac(stall_count_2),
12933
	.datad(stall_count[1]),
12934
	.aclr(gnd),
12935
	.aload(gnd),
12936
	.clk(fclk),
12937
	.cin(gnd),
12938
	.cascin(vcc),
12939
	.devclrn(devclrn),
12940
	.devpor(devpor),
12941
	.combout(),
12942
	.regout(stall_count[1]),
12943
	.cout(),
12944
	.cascout());
12945
// synopsys translate_off
12946
defparam \stall_count[1]~I .clock_enable_mode = "true";
12947
defparam \stall_count[1]~I .lut_mask = "3fc0";
12948
defparam \stall_count[1]~I .operation_mode = "normal";
12949
defparam \stall_count[1]~I .output_mode = "reg_only";
12950
defparam \stall_count[1]~I .packed_mode = "false";
12951
// synopsys translate_on
12952
 
12953
// atom is at LC6_B18
12954
flex10ke_lcell \pages[0][5]~I (
12955
// Equation(s):
12956
// \pages[0][5]  = DFFEA(!\d[5]~2 , GLOBAL(\fclk~dataout ), , , \ramnrom[0]~63 , , )
12957
 
12958
	.dataa(\ramnrom[0]~63 ),
12959
	.datab(vcc),
12960
	.datac(vcc),
12961
	.datad(d_5),
12962
	.aclr(gnd),
12963
	.aload(gnd),
12964
	.clk(fclk),
12965
	.cin(gnd),
12966
	.cascin(vcc),
12967
	.devclrn(devclrn),
12968
	.devpor(devpor),
12969
	.combout(),
12970
	.regout(\pages[0][5] ),
12971
	.cout(),
12972
	.cascout());
12973
// synopsys translate_off
12974
defparam \pages[0][5]~I .clock_enable_mode = "true";
12975
defparam \pages[0][5]~I .lut_mask = "00ff";
12976
defparam \pages[0][5]~I .operation_mode = "normal";
12977
defparam \pages[0][5]~I .output_mode = "reg_only";
12978
defparam \pages[0][5]~I .packed_mode = "false";
12979
// synopsys translate_on
12980
 
12981
// atom is at LC5_B18
12982
flex10ke_lcell \pages[1][5]~I (
12983
// Equation(s):
12984
// \pages[1][5]  = DFFEA(!\d[5]~2 , GLOBAL(\fclk~dataout ), , , \ramnrom[1]~64 , , )
12985
 
12986
	.dataa(\ramnrom[1]~64 ),
12987
	.datab(vcc),
12988
	.datac(vcc),
12989
	.datad(d_5),
12990
	.aclr(gnd),
12991
	.aload(gnd),
12992
	.clk(fclk),
12993
	.cin(gnd),
12994
	.cascin(vcc),
12995
	.devclrn(devclrn),
12996
	.devpor(devpor),
12997
	.combout(),
12998
	.regout(\pages[1][5] ),
12999
	.cout(),
13000
	.cascout());
13001
// synopsys translate_off
13002
defparam \pages[1][5]~I .clock_enable_mode = "true";
13003
defparam \pages[1][5]~I .lut_mask = "00ff";
13004
defparam \pages[1][5]~I .operation_mode = "normal";
13005
defparam \pages[1][5]~I .output_mode = "reg_only";
13006
defparam \pages[1][5]~I .packed_mode = "false";
13007
// synopsys translate_on
13008
 
13009
// atom is at LC1_B18
13010
flex10ke_lcell \page~1609_I (
13011
// Equation(s):
13012
// \page~1609  = p7ffd_int_4 & (\pages[1][5] ) # !p7ffd_int_4 & \pages[0][5] 
13013
 
13014
	.dataa(vcc),
13015
	.datab(p7ffd_int_4),
13016
	.datac(\pages[0][5] ),
13017
	.datad(\pages[1][5] ),
13018
	.aclr(gnd),
13019
	.aload(gnd),
13020
	.clk(gnd),
13021
	.cin(gnd),
13022
	.cascin(vcc),
13023
	.devclrn(devclrn),
13024
	.devpor(devpor),
13025
	.combout(\page~1609 ),
13026
	.regout(),
13027
	.cout(),
13028
	.cascout());
13029
// synopsys translate_off
13030
defparam \page~1609_I .clock_enable_mode = "false";
13031
defparam \page~1609_I .lut_mask = "fc30";
13032
defparam \page~1609_I .operation_mode = "normal";
13033
defparam \page~1609_I .output_mode = "comb_only";
13034
defparam \page~1609_I .packed_mode = "false";
13035
// synopsys translate_on
13036
 
13037
// atom is at LC3_D21
13038
flex10ke_lcell \pages[0][6]~I (
13039
// Equation(s):
13040
// \pages[0][6]  = DFFEA(!\d[6]~1  & !\a~dataout [11], GLOBAL(\fclk~dataout ), , , \ramnrom[0]~63 , , )
13041
 
13042
	.dataa(\ramnrom[0]~63 ),
13043
	.datab(vcc),
13044
	.datac(d_6),
13045
	.datad(a_11),
13046
	.aclr(gnd),
13047
	.aload(gnd),
13048
	.clk(fclk),
13049
	.cin(gnd),
13050
	.cascin(vcc),
13051
	.devclrn(devclrn),
13052
	.devpor(devpor),
13053
	.combout(),
13054
	.regout(\pages[0][6] ),
13055
	.cout(),
13056
	.cascout());
13057
// synopsys translate_off
13058
defparam \pages[0][6]~I .clock_enable_mode = "true";
13059
defparam \pages[0][6]~I .lut_mask = "000f";
13060
defparam \pages[0][6]~I .operation_mode = "normal";
13061
defparam \pages[0][6]~I .output_mode = "reg_only";
13062
defparam \pages[0][6]~I .packed_mode = "false";
13063
// synopsys translate_on
13064
 
13065
// atom is at LC1_D21
13066
flex10ke_lcell \pages[1][6]~I (
13067
// Equation(s):
13068
// \pages[1][6]  = DFFEA(!\d[6]~1  & !\a~dataout [11], GLOBAL(\fclk~dataout ), , , \ramnrom[1]~64 , , )
13069
 
13070
	.dataa(\ramnrom[1]~64 ),
13071
	.datab(vcc),
13072
	.datac(d_6),
13073
	.datad(a_11),
13074
	.aclr(gnd),
13075
	.aload(gnd),
13076
	.clk(fclk),
13077
	.cin(gnd),
13078
	.cascin(vcc),
13079
	.devclrn(devclrn),
13080
	.devpor(devpor),
13081
	.combout(),
13082
	.regout(\pages[1][6] ),
13083
	.cout(),
13084
	.cascout());
13085
// synopsys translate_off
13086
defparam \pages[1][6]~I .clock_enable_mode = "true";
13087
defparam \pages[1][6]~I .lut_mask = "000f";
13088
defparam \pages[1][6]~I .operation_mode = "normal";
13089
defparam \pages[1][6]~I .output_mode = "reg_only";
13090
defparam \pages[1][6]~I .packed_mode = "false";
13091
// synopsys translate_on
13092
 
13093
// atom is at LC6_D21
13094
flex10ke_lcell \pages[0][7]~I (
13095
// Equation(s):
13096
// \pages[0][7]  = DFFEA(!\d[7]~0  & !\a~dataout [11], GLOBAL(\fclk~dataout ), , , \ramnrom[0]~63 , , )
13097
 
13098
	.dataa(\ramnrom[0]~63 ),
13099
	.datab(vcc),
13100
	.datac(d_7),
13101
	.datad(a_11),
13102
	.aclr(gnd),
13103
	.aload(gnd),
13104
	.clk(fclk),
13105
	.cin(gnd),
13106
	.cascin(vcc),
13107
	.devclrn(devclrn),
13108
	.devpor(devpor),
13109
	.combout(),
13110
	.regout(\pages[0][7] ),
13111
	.cout(),
13112
	.cascout());
13113
// synopsys translate_off
13114
defparam \pages[0][7]~I .clock_enable_mode = "true";
13115
defparam \pages[0][7]~I .lut_mask = "000f";
13116
defparam \pages[0][7]~I .operation_mode = "normal";
13117
defparam \pages[0][7]~I .output_mode = "reg_only";
13118
defparam \pages[0][7]~I .packed_mode = "false";
13119
// synopsys translate_on
13120
 
13121
// atom is at LC4_D21
13122
flex10ke_lcell \pages[1][7]~I (
13123
// Equation(s):
13124
// \pages[1][7]  = DFFEA(!\d[7]~0  & !\a~dataout [11], GLOBAL(\fclk~dataout ), , , \ramnrom[1]~64 , , )
13125
 
13126
	.dataa(\ramnrom[1]~64 ),
13127
	.datab(vcc),
13128
	.datac(d_7),
13129
	.datad(a_11),
13130
	.aclr(gnd),
13131
	.aload(gnd),
13132
	.clk(fclk),
13133
	.cin(gnd),
13134
	.cascin(vcc),
13135
	.devclrn(devclrn),
13136
	.devpor(devpor),
13137
	.combout(),
13138
	.regout(\pages[1][7] ),
13139
	.cout(),
13140
	.cascout());
13141
// synopsys translate_off
13142
defparam \pages[1][7]~I .clock_enable_mode = "true";
13143
defparam \pages[1][7]~I .lut_mask = "000f";
13144
defparam \pages[1][7]~I .operation_mode = "normal";
13145
defparam \pages[1][7]~I .output_mode = "reg_only";
13146
defparam \pages[1][7]~I .packed_mode = "false";
13147
// synopsys translate_on
13148
 
13149
endmodule
13150
 
13151
module dram (
13152
	rst_out_n,
13153
	Mux6,
13154
	Mux5,
13155
	Mux4,
13156
	Mux3,
13157
	ra_0,
13158
	ra_1,
13159
	ra_2,
13160
	ra_3,
13161
	ra_4,
13162
	ra_5,
13163
	ra_6,
13164
	ra_7,
13165
	ra_8,
13166
	ra_9,
13167
	rwe_n,
13168
	rucas_n,
13169
	rlcas_n,
13170
	rras0_n,
13171
	rras1_n,
13172
	cpu_rnw,
13173
	cpu_req,
13174
	Equal2,
13175
	next_cycle_0,
13176
	Selector1,
13177
	next_cycle_1,
13178
	cbeg,
13179
	q_1,
13180
	q_2,
13181
	q_3,
13182
	q_31,
13183
	p7ffd_int_3,
13184
	q_4,
13185
	q_5,
13186
	q_0,
13187
	int_wrdata_0,
13188
	int_wrdata_1,
13189
	int_wrdata_2,
13190
	int_wrdata_3,
13191
	int_wrdata_4,
13192
	int_wrdata_5,
13193
	int_wrdata_6,
13194
	int_wrdata_7,
13195
	next_cycle_01,
13196
	dram_addr_11,
13197
	dram_addr_12,
13198
	dram_addr_13,
13199
	Mux2,
13200
	dram_addr_9,
13201
	Mux1,
13202
	dram_addr_10,
13203
	Mux0,
13204
	rddata_15,
13205
	rddata_6,
13206
	rddata_14,
13207
	rddata_7,
13208
	rddata_1,
13209
	rddata_12,
13210
	rddata_9,
13211
	rddata_4,
13212
	rddata_5,
13213
	rddata_13,
13214
	rddata_2,
13215
	rddata_10,
13216
	rddata_3,
13217
	rddata_11,
13218
	rddata_0,
13219
	rddata_8,
13220
	dram_addr_7,
13221
	dram_addr_8,
13222
	a_3,
13223
	a_4,
13224
	a_0,
13225
	a_1,
13226
	a_2,
13227
	a_6,
13228
	a_5,
13229
	a_7,
13230
	clk,
13231
	a_8,
13232
	a_11,
13233
	a_12,
13234
	a_13,
13235
	a_10,
13236
	a_9,
13237
	rucas_n1,
13238
	rlcas_n1,
13239
	rras0_n1,
13240
	rras1_n1,
13241
	d_0,
13242
	d_1,
13243
	d_2,
13244
	d_3,
13245
	d_4,
13246
	d_5,
13247
	d_6,
13248
	d_7,
13249
	rd_0,
13250
	rd_1,
13251
	rd_2,
13252
	rd_3,
13253
	rd_4,
13254
	rd_5,
13255
	rd_6,
13256
	rd_7,
13257
	rd_8,
13258
	rd_9,
13259
	rd_10,
13260
	rd_11,
13261
	rd_12,
13262
	rd_13,
13263
	rd_14,
13264
	rd_15,
13265
	int_wrdata_01,
13266
	int_wrdata_11,
13267
	int_wrdata_21,
13268
	int_wrdata_31,
13269
	int_wrdata_41,
13270
	int_wrdata_51,
13271
	int_wrdata_61,
13272
	int_wrdata_71,
13273
	devpor,
13274
	devclrn,
13275
	devoe);
13276
input 	rst_out_n;
13277
input 	Mux6;
13278
input 	Mux5;
13279
input 	Mux4;
13280
input 	Mux3;
13281
output 	ra_0;
13282
output 	ra_1;
13283
output 	ra_2;
13284
output 	ra_3;
13285
output 	ra_4;
13286
output 	ra_5;
13287
output 	ra_6;
13288
output 	ra_7;
13289
output 	ra_8;
13290
output 	ra_9;
13291
output 	rwe_n;
13292
output 	rucas_n;
13293
output 	rlcas_n;
13294
output 	rras0_n;
13295
output 	rras1_n;
13296
input 	cpu_rnw;
13297
input 	cpu_req;
13298
input 	Equal2;
13299
input 	next_cycle_0;
13300
output 	Selector1;
13301
input 	next_cycle_1;
13302
output 	cbeg;
13303
input 	q_1;
13304
input 	q_2;
13305
input 	q_3;
13306
input 	q_31;
13307
input 	p7ffd_int_3;
13308
input 	q_4;
13309
input 	q_5;
13310
input 	q_0;
13311
output 	int_wrdata_0;
13312
output 	int_wrdata_1;
13313
output 	int_wrdata_2;
13314
output 	int_wrdata_3;
13315
output 	int_wrdata_4;
13316
output 	int_wrdata_5;
13317
output 	int_wrdata_6;
13318
output 	int_wrdata_7;
13319
input 	next_cycle_01;
13320
input 	dram_addr_11;
13321
input 	dram_addr_12;
13322
input 	dram_addr_13;
13323
input 	Mux2;
13324
input 	dram_addr_9;
13325
input 	Mux1;
13326
input 	dram_addr_10;
13327
input 	Mux0;
13328
output 	rddata_15;
13329
output 	rddata_6;
13330
output 	rddata_14;
13331
output 	rddata_7;
13332
output 	rddata_1;
13333
output 	rddata_12;
13334
output 	rddata_9;
13335
output 	rddata_4;
13336
output 	rddata_5;
13337
output 	rddata_13;
13338
output 	rddata_2;
13339
output 	rddata_10;
13340
output 	rddata_3;
13341
output 	rddata_11;
13342
output 	rddata_0;
13343
output 	rddata_8;
13344
input 	dram_addr_7;
13345
input 	dram_addr_8;
13346
input 	a_3;
13347
input 	a_4;
13348
input 	a_0;
13349
input 	a_1;
13350
input 	a_2;
13351
input 	a_6;
13352
input 	a_5;
13353
input 	a_7;
13354
input 	clk;
13355
input 	a_8;
13356
input 	a_11;
13357
input 	a_12;
13358
input 	a_13;
13359
input 	a_10;
13360
input 	a_9;
13361
input 	rucas_n1;
13362
input 	rlcas_n1;
13363
input 	rras0_n1;
13364
input 	rras1_n1;
13365
input 	d_0;
13366
input 	d_1;
13367
input 	d_2;
13368
input 	d_3;
13369
input 	d_4;
13370
input 	d_5;
13371
input 	d_6;
13372
input 	d_7;
13373
input 	rd_0;
13374
input 	rd_1;
13375
input 	rd_2;
13376
input 	rd_3;
13377
input 	rd_4;
13378
input 	rd_5;
13379
input 	rd_6;
13380
input 	rd_7;
13381
input 	rd_8;
13382
input 	rd_9;
13383
input 	rd_10;
13384
input 	rd_11;
13385
input 	rd_12;
13386
input 	rd_13;
13387
input 	rd_14;
13388
input 	rd_15;
13389
output 	int_wrdata_01;
13390
output 	int_wrdata_11;
13391
output 	int_wrdata_21;
13392
output 	int_wrdata_31;
13393
output 	int_wrdata_41;
13394
output 	int_wrdata_51;
13395
output 	int_wrdata_61;
13396
output 	int_wrdata_71;
13397
input 	devpor;
13398
input 	devclrn;
13399
input 	devoe;
13400
 
13401
wire gnd = 1'b0;
13402
wire vcc = 1'b1;
13403
 
13404
wire \state.RD2 ;
13405
wire \state.RD3 ;
13406
wire \state.RD4 ;
13407
wire \state.WR1 ;
13408
wire \state.WR2 ;
13409
wire \state.WR3 ;
13410
wire \state.WR4 ;
13411
wire \state.RFSH1 ;
13412
wire \state.RFSH2 ;
13413
wire \state.RFSH3 ;
13414
wire \state.RFSH4 ;
13415
wire \WideOr0~18 ;
13416
wire \state.RD1 ;
13417
wire \int_addr[1]~144 ;
13418
wire \Selector0~28 ;
13419
wire WideOr3;
13420
wire \Selector5~69 ;
13421
wire \Selector6~67 ;
13422
wire \state.RFSH1~33 ;
13423
wire \WideOr3~18 ;
13424
wire rfsh_alt;
13425
wire \Selector3~80 ;
13426
wire \Selector4~49 ;
13427
wire [20:0] int_addr;
13428
wire [1:0] int_bsel;
13429
wire [1:0] rst_sync;
13430
 
13431
 
13432
// atom is at LC1_I15
13433
flex10ke_lcell \ra[0]~I (
13434
// Equation(s):
13435
// ra_0 = DFFEA(\state.RD1  & (int_addr[1]) # !\state.RD1  & (\state.WR1  & (int_addr[1]) # !\state.WR1  & int_addr[11]), !GLOBAL(\fclk~dataout ), , , , , )
13436
 
13437
	.dataa(\state.RD1 ),
13438
	.datab(\state.WR1 ),
13439
	.datac(int_addr[11]),
13440
	.datad(int_addr[1]),
13441
	.aclr(gnd),
13442
	.aload(gnd),
13443
	.clk(!clk),
13444
	.cin(gnd),
13445
	.cascin(vcc),
13446
	.devclrn(devclrn),
13447
	.devpor(devpor),
13448
	.combout(),
13449
	.regout(ra_0),
13450
	.cout(),
13451
	.cascout());
13452
// synopsys translate_off
13453
defparam \ra[0]~I .clock_enable_mode = "false";
13454
defparam \ra[0]~I .lut_mask = "fe10";
13455
defparam \ra[0]~I .operation_mode = "normal";
13456
defparam \ra[0]~I .output_mode = "reg_only";
13457
defparam \ra[0]~I .packed_mode = "false";
13458
// synopsys translate_on
13459
 
13460
// atom is at LC2_I13
13461
flex10ke_lcell \ra[1]~I (
13462
// Equation(s):
13463
// ra_1 = DFFEA(\state.RD1  & (int_addr[2]) # !\state.RD1  & (\state.WR1  & (int_addr[2]) # !\state.WR1  & int_addr[12]), !GLOBAL(\fclk~dataout ), , , , , )
13464
 
13465
	.dataa(\state.RD1 ),
13466
	.datab(\state.WR1 ),
13467
	.datac(int_addr[12]),
13468
	.datad(int_addr[2]),
13469
	.aclr(gnd),
13470
	.aload(gnd),
13471
	.clk(!clk),
13472
	.cin(gnd),
13473
	.cascin(vcc),
13474
	.devclrn(devclrn),
13475
	.devpor(devpor),
13476
	.combout(),
13477
	.regout(ra_1),
13478
	.cout(),
13479
	.cascout());
13480
// synopsys translate_off
13481
defparam \ra[1]~I .clock_enable_mode = "false";
13482
defparam \ra[1]~I .lut_mask = "fe10";
13483
defparam \ra[1]~I .operation_mode = "normal";
13484
defparam \ra[1]~I .output_mode = "reg_only";
13485
defparam \ra[1]~I .packed_mode = "false";
13486
// synopsys translate_on
13487
 
13488
// atom is at LC6_I13
13489
flex10ke_lcell \ra[2]~I (
13490
// Equation(s):
13491
// ra_2 = DFFEA(\state.RD1  & (int_addr[3]) # !\state.RD1  & (\state.WR1  & (int_addr[3]) # !\state.WR1  & int_addr[13]), !GLOBAL(\fclk~dataout ), , , , , )
13492
 
13493
	.dataa(\state.RD1 ),
13494
	.datab(\state.WR1 ),
13495
	.datac(int_addr[13]),
13496
	.datad(int_addr[3]),
13497
	.aclr(gnd),
13498
	.aload(gnd),
13499
	.clk(!clk),
13500
	.cin(gnd),
13501
	.cascin(vcc),
13502
	.devclrn(devclrn),
13503
	.devpor(devpor),
13504
	.combout(),
13505
	.regout(ra_2),
13506
	.cout(),
13507
	.cascout());
13508
// synopsys translate_off
13509
defparam \ra[2]~I .clock_enable_mode = "false";
13510
defparam \ra[2]~I .lut_mask = "fe10";
13511
defparam \ra[2]~I .operation_mode = "normal";
13512
defparam \ra[2]~I .output_mode = "reg_only";
13513
defparam \ra[2]~I .packed_mode = "false";
13514
// synopsys translate_on
13515
 
13516
// atom is at LC2_I11
13517
flex10ke_lcell \ra[3]~I (
13518
// Equation(s):
13519
// ra_3 = DFFEA(\state.RD1  & (int_addr[4]) # !\state.RD1  & (\state.WR1  & (int_addr[4]) # !\state.WR1  & int_addr[14]), !GLOBAL(\fclk~dataout ), , , , , )
13520
 
13521
	.dataa(\state.RD1 ),
13522
	.datab(\state.WR1 ),
13523
	.datac(int_addr[14]),
13524
	.datad(int_addr[4]),
13525
	.aclr(gnd),
13526
	.aload(gnd),
13527
	.clk(!clk),
13528
	.cin(gnd),
13529
	.cascin(vcc),
13530
	.devclrn(devclrn),
13531
	.devpor(devpor),
13532
	.combout(),
13533
	.regout(ra_3),
13534
	.cout(),
13535
	.cascout());
13536
// synopsys translate_off
13537
defparam \ra[3]~I .clock_enable_mode = "false";
13538
defparam \ra[3]~I .lut_mask = "fe10";
13539
defparam \ra[3]~I .operation_mode = "normal";
13540
defparam \ra[3]~I .output_mode = "reg_only";
13541
defparam \ra[3]~I .packed_mode = "false";
13542
// synopsys translate_on
13543
 
13544
// atom is at LC1_I10
13545
flex10ke_lcell \ra[4]~I (
13546
// Equation(s):
13547
// ra_4 = DFFEA(\state.RD1  & (int_addr[5]) # !\state.RD1  & (\state.WR1  & (int_addr[5]) # !\state.WR1  & int_addr[15]), !GLOBAL(\fclk~dataout ), , , , , )
13548
 
13549
	.dataa(\state.RD1 ),
13550
	.datab(\state.WR1 ),
13551
	.datac(int_addr[15]),
13552
	.datad(int_addr[5]),
13553
	.aclr(gnd),
13554
	.aload(gnd),
13555
	.clk(!clk),
13556
	.cin(gnd),
13557
	.cascin(vcc),
13558
	.devclrn(devclrn),
13559
	.devpor(devpor),
13560
	.combout(),
13561
	.regout(ra_4),
13562
	.cout(),
13563
	.cascout());
13564
// synopsys translate_off
13565
defparam \ra[4]~I .clock_enable_mode = "false";
13566
defparam \ra[4]~I .lut_mask = "fe10";
13567
defparam \ra[4]~I .operation_mode = "normal";
13568
defparam \ra[4]~I .output_mode = "reg_only";
13569
defparam \ra[4]~I .packed_mode = "false";
13570
// synopsys translate_on
13571
 
13572
// atom is at LC7_I11
13573
flex10ke_lcell \ra[5]~I (
13574
// Equation(s):
13575
// ra_5 = DFFEA(\state.RD1  & (int_addr[6]) # !\state.RD1  & (\state.WR1  & (int_addr[6]) # !\state.WR1  & int_addr[16]), !GLOBAL(\fclk~dataout ), , , , , )
13576
 
13577
	.dataa(\state.RD1 ),
13578
	.datab(\state.WR1 ),
13579
	.datac(int_addr[16]),
13580
	.datad(int_addr[6]),
13581
	.aclr(gnd),
13582
	.aload(gnd),
13583
	.clk(!clk),
13584
	.cin(gnd),
13585
	.cascin(vcc),
13586
	.devclrn(devclrn),
13587
	.devpor(devpor),
13588
	.combout(),
13589
	.regout(ra_5),
13590
	.cout(),
13591
	.cascout());
13592
// synopsys translate_off
13593
defparam \ra[5]~I .clock_enable_mode = "false";
13594
defparam \ra[5]~I .lut_mask = "fe10";
13595
defparam \ra[5]~I .operation_mode = "normal";
13596
defparam \ra[5]~I .output_mode = "reg_only";
13597
defparam \ra[5]~I .packed_mode = "false";
13598
// synopsys translate_on
13599
 
13600
// atom is at LC3_I13
13601
flex10ke_lcell \ra[6]~I (
13602
// Equation(s):
13603
// ra_6 = DFFEA(\state.RD1  & (int_addr[7]) # !\state.RD1  & (\state.WR1  & (int_addr[7]) # !\state.WR1  & int_addr[17]), !GLOBAL(\fclk~dataout ), , , , , )
13604
 
13605
	.dataa(\state.RD1 ),
13606
	.datab(\state.WR1 ),
13607
	.datac(int_addr[17]),
13608
	.datad(int_addr[7]),
13609
	.aclr(gnd),
13610
	.aload(gnd),
13611
	.clk(!clk),
13612
	.cin(gnd),
13613
	.cascin(vcc),
13614
	.devclrn(devclrn),
13615
	.devpor(devpor),
13616
	.combout(),
13617
	.regout(ra_6),
13618
	.cout(),
13619
	.cascout());
13620
// synopsys translate_off
13621
defparam \ra[6]~I .clock_enable_mode = "false";
13622
defparam \ra[6]~I .lut_mask = "fe10";
13623
defparam \ra[6]~I .operation_mode = "normal";
13624
defparam \ra[6]~I .output_mode = "reg_only";
13625
defparam \ra[6]~I .packed_mode = "false";
13626
// synopsys translate_on
13627
 
13628
// atom is at LC7_I15
13629
flex10ke_lcell \ra[7]~I (
13630
// Equation(s):
13631
// ra_7 = DFFEA(\state.RD1  & (int_addr[8]) # !\state.RD1  & (\state.WR1  & (int_addr[8]) # !\state.WR1  & int_addr[18]), !GLOBAL(\fclk~dataout ), , , , , )
13632
 
13633
	.dataa(\state.RD1 ),
13634
	.datab(\state.WR1 ),
13635
	.datac(int_addr[18]),
13636
	.datad(int_addr[8]),
13637
	.aclr(gnd),
13638
	.aload(gnd),
13639
	.clk(!clk),
13640
	.cin(gnd),
13641
	.cascin(vcc),
13642
	.devclrn(devclrn),
13643
	.devpor(devpor),
13644
	.combout(),
13645
	.regout(ra_7),
13646
	.cout(),
13647
	.cascout());
13648
// synopsys translate_off
13649
defparam \ra[7]~I .clock_enable_mode = "false";
13650
defparam \ra[7]~I .lut_mask = "fe10";
13651
defparam \ra[7]~I .operation_mode = "normal";
13652
defparam \ra[7]~I .output_mode = "reg_only";
13653
defparam \ra[7]~I .packed_mode = "false";
13654
// synopsys translate_on
13655
 
13656
// atom is at LC4_I17
13657
flex10ke_lcell \ra[8]~I (
13658
// Equation(s):
13659
// ra_8 = DFFEA(\state.RD1  & (int_addr[9]) # !\state.RD1  & (\state.WR1  & (int_addr[9]) # !\state.WR1  & int_addr[19]), !GLOBAL(\fclk~dataout ), , , , , )
13660
 
13661
	.dataa(\state.RD1 ),
13662
	.datab(\state.WR1 ),
13663
	.datac(int_addr[19]),
13664
	.datad(int_addr[9]),
13665
	.aclr(gnd),
13666
	.aload(gnd),
13667
	.clk(!clk),
13668
	.cin(gnd),
13669
	.cascin(vcc),
13670
	.devclrn(devclrn),
13671
	.devpor(devpor),
13672
	.combout(),
13673
	.regout(ra_8),
13674
	.cout(),
13675
	.cascout());
13676
// synopsys translate_off
13677
defparam \ra[8]~I .clock_enable_mode = "false";
13678
defparam \ra[8]~I .lut_mask = "fe10";
13679
defparam \ra[8]~I .operation_mode = "normal";
13680
defparam \ra[8]~I .output_mode = "reg_only";
13681
defparam \ra[8]~I .packed_mode = "false";
13682
// synopsys translate_on
13683
 
13684
// atom is at LC2_I17
13685
flex10ke_lcell \ra[9]~I (
13686
// Equation(s):
13687
// ra_9 = DFFEA(\state.RD1  & (int_addr[10]) # !\state.RD1  & (\state.WR1  & (int_addr[10]) # !\state.WR1  & int_addr[20]), !GLOBAL(\fclk~dataout ), , , , , )
13688
 
13689
	.dataa(\state.RD1 ),
13690
	.datab(\state.WR1 ),
13691
	.datac(int_addr[20]),
13692
	.datad(int_addr[10]),
13693
	.aclr(gnd),
13694
	.aload(gnd),
13695
	.clk(!clk),
13696
	.cin(gnd),
13697
	.cascin(vcc),
13698
	.devclrn(devclrn),
13699
	.devpor(devpor),
13700
	.combout(),
13701
	.regout(ra_9),
13702
	.cout(),
13703
	.cascout());
13704
// synopsys translate_off
13705
defparam \ra[9]~I .clock_enable_mode = "false";
13706
defparam \ra[9]~I .lut_mask = "fe10";
13707
defparam \ra[9]~I .operation_mode = "normal";
13708
defparam \ra[9]~I .output_mode = "reg_only";
13709
defparam \ra[9]~I .packed_mode = "false";
13710
// synopsys translate_on
13711
 
13712
// atom is at LC8_F20
13713
flex10ke_lcell \rwe_n~COMB_I (
13714
// Equation(s):
13715
// rwe_n = !\state.WR2  & !\state.WR3  & !\state.WR1  & !\Selector0~28 
13716
 
13717
	.dataa(\state.WR2 ),
13718
	.datab(\state.WR3 ),
13719
	.datac(\state.WR1 ),
13720
	.datad(\Selector0~28 ),
13721
	.aclr(gnd),
13722
	.aload(gnd),
13723
	.clk(gnd),
13724
	.cin(gnd),
13725
	.cascin(vcc),
13726
	.devclrn(devclrn),
13727
	.devpor(devpor),
13728
	.combout(rwe_n),
13729
	.regout(),
13730
	.cout(),
13731
	.cascout());
13732
// synopsys translate_off
13733
defparam \rwe_n~COMB_I .clock_enable_mode = "false";
13734
defparam \rwe_n~COMB_I .lut_mask = "0001";
13735
defparam \rwe_n~COMB_I .operation_mode = "normal";
13736
defparam \rwe_n~COMB_I .output_mode = "comb_only";
13737
defparam \rwe_n~COMB_I .packed_mode = "false";
13738
// synopsys translate_on
13739
 
13740
// atom is at LC1_F19
13741
flex10ke_lcell \rucas_n~COMB_I (
13742
// Equation(s):
13743
// rucas_n = \Selector5~69  # !int_bsel[1] & \state.WR2 
13744
 
13745
	.dataa(vcc),
13746
	.datab(int_bsel[1]),
13747
	.datac(\state.WR2 ),
13748
	.datad(\Selector5~69 ),
13749
	.aclr(gnd),
13750
	.aload(gnd),
13751
	.clk(gnd),
13752
	.cin(gnd),
13753
	.cascin(vcc),
13754
	.devclrn(devclrn),
13755
	.devpor(devpor),
13756
	.combout(rucas_n),
13757
	.regout(),
13758
	.cout(),
13759
	.cascout());
13760
// synopsys translate_off
13761
defparam \rucas_n~COMB_I .clock_enable_mode = "false";
13762
defparam \rucas_n~COMB_I .lut_mask = "ff30";
13763
defparam \rucas_n~COMB_I .operation_mode = "normal";
13764
defparam \rucas_n~COMB_I .output_mode = "comb_only";
13765
defparam \rucas_n~COMB_I .packed_mode = "false";
13766
// synopsys translate_on
13767
 
13768
// atom is at LC8_F21
13769
flex10ke_lcell \rlcas_n~COMB_I (
13770
// Equation(s):
13771
// rlcas_n = \Selector6~67  # int_bsel[1] & \state.WR2 
13772
 
13773
	.dataa(vcc),
13774
	.datab(int_bsel[1]),
13775
	.datac(\state.WR2 ),
13776
	.datad(\Selector6~67 ),
13777
	.aclr(gnd),
13778
	.aload(gnd),
13779
	.clk(gnd),
13780
	.cin(gnd),
13781
	.cascin(vcc),
13782
	.devclrn(devclrn),
13783
	.devpor(devpor),
13784
	.combout(rlcas_n),
13785
	.regout(),
13786
	.cout(),
13787
	.cascout());
13788
// synopsys translate_off
13789
defparam \rlcas_n~COMB_I .clock_enable_mode = "false";
13790
defparam \rlcas_n~COMB_I .lut_mask = "ffc0";
13791
defparam \rlcas_n~COMB_I .operation_mode = "normal";
13792
defparam \rlcas_n~COMB_I .output_mode = "comb_only";
13793
defparam \rlcas_n~COMB_I .packed_mode = "false";
13794
// synopsys translate_on
13795
 
13796
// atom is at LC8_F22
13797
flex10ke_lcell \rras0_n~COMB_I (
13798
// Equation(s):
13799
// rras0_n = \Selector3~80  & (\state.RFSH1~33  # \dram|rras0_n )
13800
 
13801
	.dataa(vcc),
13802
	.datab(\state.RFSH1~33 ),
13803
	.datac(rras0_n1),
13804
	.datad(\Selector3~80 ),
13805
	.aclr(gnd),
13806
	.aload(gnd),
13807
	.clk(gnd),
13808
	.cin(gnd),
13809
	.cascin(vcc),
13810
	.devclrn(devclrn),
13811
	.devpor(devpor),
13812
	.combout(rras0_n),
13813
	.regout(),
13814
	.cout(),
13815
	.cascout());
13816
// synopsys translate_off
13817
defparam \rras0_n~COMB_I .clock_enable_mode = "false";
13818
defparam \rras0_n~COMB_I .lut_mask = "fc00";
13819
defparam \rras0_n~COMB_I .operation_mode = "normal";
13820
defparam \rras0_n~COMB_I .output_mode = "comb_only";
13821
defparam \rras0_n~COMB_I .packed_mode = "false";
13822
// synopsys translate_on
13823
 
13824
// atom is at LC1_F9
13825
flex10ke_lcell \rras1_n~COMB_I (
13826
// Equation(s):
13827
// rras1_n = \Selector4~49  & (\state.RFSH1~33  # \dram|rras1_n )
13828
 
13829
	.dataa(vcc),
13830
	.datab(\state.RFSH1~33 ),
13831
	.datac(rras1_n1),
13832
	.datad(\Selector4~49 ),
13833
	.aclr(gnd),
13834
	.aload(gnd),
13835
	.clk(gnd),
13836
	.cin(gnd),
13837
	.cascin(vcc),
13838
	.devclrn(devclrn),
13839
	.devpor(devpor),
13840
	.combout(rras1_n),
13841
	.regout(),
13842
	.cout(),
13843
	.cascout());
13844
// synopsys translate_off
13845
defparam \rras1_n~COMB_I .clock_enable_mode = "false";
13846
defparam \rras1_n~COMB_I .lut_mask = "fc00";
13847
defparam \rras1_n~COMB_I .operation_mode = "normal";
13848
defparam \rras1_n~COMB_I .output_mode = "comb_only";
13849
defparam \rras1_n~COMB_I .packed_mode = "false";
13850
// synopsys translate_on
13851
 
13852
// atom is at LC1_E2
13853
flex10ke_lcell \Selector1~73_I (
13854
// Equation(s):
13855
// Selector1 = !cpu_rnw & cpu_req & (Equal2 # !next_cycle_0)
13856
 
13857
	.dataa(cpu_rnw),
13858
	.datab(next_cycle_0),
13859
	.datac(Equal2),
13860
	.datad(cpu_req),
13861
	.aclr(gnd),
13862
	.aload(gnd),
13863
	.clk(gnd),
13864
	.cin(gnd),
13865
	.cascin(vcc),
13866
	.devclrn(devclrn),
13867
	.devpor(devpor),
13868
	.combout(Selector1),
13869
	.regout(),
13870
	.cout(),
13871
	.cascout());
13872
// synopsys translate_off
13873
defparam \Selector1~73_I .clock_enable_mode = "false";
13874
defparam \Selector1~73_I .lut_mask = "5100";
13875
defparam \Selector1~73_I .operation_mode = "normal";
13876
defparam \Selector1~73_I .output_mode = "comb_only";
13877
defparam \Selector1~73_I .packed_mode = "false";
13878
// synopsys translate_on
13879
 
13880
// atom is at LC3_A1
13881
flex10ke_lcell \cbeg~I (
13882
// Equation(s):
13883
// cbeg = DFFEA(!\WideOr0~18 , GLOBAL(\fclk~dataout ), , , , , )
13884
 
13885
	.dataa(vcc),
13886
	.datab(vcc),
13887
	.datac(vcc),
13888
	.datad(\WideOr0~18 ),
13889
	.aclr(gnd),
13890
	.aload(gnd),
13891
	.clk(clk),
13892
	.cin(gnd),
13893
	.cascin(vcc),
13894
	.devclrn(devclrn),
13895
	.devpor(devpor),
13896
	.combout(),
13897
	.regout(cbeg),
13898
	.cout(),
13899
	.cascout());
13900
// synopsys translate_off
13901
defparam \cbeg~I .clock_enable_mode = "false";
13902
defparam \cbeg~I .lut_mask = "00ff";
13903
defparam \cbeg~I .operation_mode = "normal";
13904
defparam \cbeg~I .output_mode = "reg_only";
13905
defparam \cbeg~I .packed_mode = "false";
13906
// synopsys translate_on
13907
 
13908
// atom is at LC4_F35
13909
flex10ke_lcell \int_wrdata[0]~I (
13910
// Equation(s):
13911
// int_wrdata_0 = DFFEA(\d[0]~7 , GLOBAL(\fclk~dataout ), , , \int_addr[1]~144 , , )
13912
 
13913
	.dataa(\int_addr[1]~144 ),
13914
	.datab(vcc),
13915
	.datac(vcc),
13916
	.datad(d_0),
13917
	.aclr(gnd),
13918
	.aload(gnd),
13919
	.clk(clk),
13920
	.cin(gnd),
13921
	.cascin(vcc),
13922
	.devclrn(devclrn),
13923
	.devpor(devpor),
13924
	.combout(),
13925
	.regout(int_wrdata_0),
13926
	.cout(),
13927
	.cascout());
13928
// synopsys translate_off
13929
defparam \int_wrdata[0]~I .clock_enable_mode = "true";
13930
defparam \int_wrdata[0]~I .lut_mask = "ff00";
13931
defparam \int_wrdata[0]~I .operation_mode = "normal";
13932
defparam \int_wrdata[0]~I .output_mode = "reg_only";
13933
defparam \int_wrdata[0]~I .packed_mode = "false";
13934
// synopsys translate_on
13935
 
13936
// atom is at LC5_B33
13937
flex10ke_lcell \int_wrdata[1]~I (
13938
// Equation(s):
13939
// int_wrdata_1 = DFFEA(\d[1]~6 , GLOBAL(\fclk~dataout ), , , \int_addr[1]~144 , , )
13940
 
13941
	.dataa(\int_addr[1]~144 ),
13942
	.datab(vcc),
13943
	.datac(vcc),
13944
	.datad(d_1),
13945
	.aclr(gnd),
13946
	.aload(gnd),
13947
	.clk(clk),
13948
	.cin(gnd),
13949
	.cascin(vcc),
13950
	.devclrn(devclrn),
13951
	.devpor(devpor),
13952
	.combout(),
13953
	.regout(int_wrdata_1),
13954
	.cout(),
13955
	.cascout());
13956
// synopsys translate_off
13957
defparam \int_wrdata[1]~I .clock_enable_mode = "true";
13958
defparam \int_wrdata[1]~I .lut_mask = "ff00";
13959
defparam \int_wrdata[1]~I .operation_mode = "normal";
13960
defparam \int_wrdata[1]~I .output_mode = "reg_only";
13961
defparam \int_wrdata[1]~I .packed_mode = "false";
13962
// synopsys translate_on
13963
 
13964
// atom is at LC3_B33
13965
flex10ke_lcell \int_wrdata[2]~I (
13966
// Equation(s):
13967
// int_wrdata_2 = DFFEA(\d[2]~5 , GLOBAL(\fclk~dataout ), , , \int_addr[1]~144 , , )
13968
 
13969
	.dataa(\int_addr[1]~144 ),
13970
	.datab(vcc),
13971
	.datac(vcc),
13972
	.datad(d_2),
13973
	.aclr(gnd),
13974
	.aload(gnd),
13975
	.clk(clk),
13976
	.cin(gnd),
13977
	.cascin(vcc),
13978
	.devclrn(devclrn),
13979
	.devpor(devpor),
13980
	.combout(),
13981
	.regout(int_wrdata_2),
13982
	.cout(),
13983
	.cascout());
13984
// synopsys translate_off
13985
defparam \int_wrdata[2]~I .clock_enable_mode = "true";
13986
defparam \int_wrdata[2]~I .lut_mask = "ff00";
13987
defparam \int_wrdata[2]~I .operation_mode = "normal";
13988
defparam \int_wrdata[2]~I .output_mode = "reg_only";
13989
defparam \int_wrdata[2]~I .packed_mode = "false";
13990
// synopsys translate_on
13991
 
13992
// atom is at LC4_A31
13993
flex10ke_lcell \int_wrdata[3]~I (
13994
// Equation(s):
13995
// int_wrdata_3 = DFFEA(\d[3]~4 , GLOBAL(\fclk~dataout ), , , \int_addr[1]~144 , , )
13996
 
13997
	.dataa(\int_addr[1]~144 ),
13998
	.datab(vcc),
13999
	.datac(vcc),
14000
	.datad(d_3),
14001
	.aclr(gnd),
14002
	.aload(gnd),
14003
	.clk(clk),
14004
	.cin(gnd),
14005
	.cascin(vcc),
14006
	.devclrn(devclrn),
14007
	.devpor(devpor),
14008
	.combout(),
14009
	.regout(int_wrdata_3),
14010
	.cout(),
14011
	.cascout());
14012
// synopsys translate_off
14013
defparam \int_wrdata[3]~I .clock_enable_mode = "true";
14014
defparam \int_wrdata[3]~I .lut_mask = "ff00";
14015
defparam \int_wrdata[3]~I .operation_mode = "normal";
14016
defparam \int_wrdata[3]~I .output_mode = "reg_only";
14017
defparam \int_wrdata[3]~I .packed_mode = "false";
14018
// synopsys translate_on
14019
 
14020
// atom is at LC3_A29
14021
flex10ke_lcell \int_wrdata[4]~I (
14022
// Equation(s):
14023
// int_wrdata_4 = DFFEA(\d[4]~3 , GLOBAL(\fclk~dataout ), , , \int_addr[1]~144 , , )
14024
 
14025
	.dataa(\int_addr[1]~144 ),
14026
	.datab(vcc),
14027
	.datac(vcc),
14028
	.datad(d_4),
14029
	.aclr(gnd),
14030
	.aload(gnd),
14031
	.clk(clk),
14032
	.cin(gnd),
14033
	.cascin(vcc),
14034
	.devclrn(devclrn),
14035
	.devpor(devpor),
14036
	.combout(),
14037
	.regout(int_wrdata_4),
14038
	.cout(),
14039
	.cascout());
14040
// synopsys translate_off
14041
defparam \int_wrdata[4]~I .clock_enable_mode = "true";
14042
defparam \int_wrdata[4]~I .lut_mask = "ff00";
14043
defparam \int_wrdata[4]~I .operation_mode = "normal";
14044
defparam \int_wrdata[4]~I .output_mode = "reg_only";
14045
defparam \int_wrdata[4]~I .packed_mode = "false";
14046
// synopsys translate_on
14047
 
14048
// atom is at LC1_F27
14049
flex10ke_lcell \int_wrdata[5]~I (
14050
// Equation(s):
14051
// int_wrdata_5 = DFFEA(\d[5]~2 , GLOBAL(\fclk~dataout ), , , \int_addr[1]~144 , , )
14052
 
14053
	.dataa(\int_addr[1]~144 ),
14054
	.datab(vcc),
14055
	.datac(vcc),
14056
	.datad(d_5),
14057
	.aclr(gnd),
14058
	.aload(gnd),
14059
	.clk(clk),
14060
	.cin(gnd),
14061
	.cascin(vcc),
14062
	.devclrn(devclrn),
14063
	.devpor(devpor),
14064
	.combout(),
14065
	.regout(int_wrdata_5),
14066
	.cout(),
14067
	.cascout());
14068
// synopsys translate_off
14069
defparam \int_wrdata[5]~I .clock_enable_mode = "true";
14070
defparam \int_wrdata[5]~I .lut_mask = "ff00";
14071
defparam \int_wrdata[5]~I .operation_mode = "normal";
14072
defparam \int_wrdata[5]~I .output_mode = "reg_only";
14073
defparam \int_wrdata[5]~I .packed_mode = "false";
14074
// synopsys translate_on
14075
 
14076
// atom is at LC1_J26
14077
flex10ke_lcell \int_wrdata[6]~I (
14078
// Equation(s):
14079
// int_wrdata_6 = DFFEA(\d[6]~1 , GLOBAL(\fclk~dataout ), , , \int_addr[1]~144 , , )
14080
 
14081
	.dataa(\int_addr[1]~144 ),
14082
	.datab(vcc),
14083
	.datac(vcc),
14084
	.datad(d_6),
14085
	.aclr(gnd),
14086
	.aload(gnd),
14087
	.clk(clk),
14088
	.cin(gnd),
14089
	.cascin(vcc),
14090
	.devclrn(devclrn),
14091
	.devpor(devpor),
14092
	.combout(),
14093
	.regout(int_wrdata_6),
14094
	.cout(),
14095
	.cascout());
14096
// synopsys translate_off
14097
defparam \int_wrdata[6]~I .clock_enable_mode = "true";
14098
defparam \int_wrdata[6]~I .lut_mask = "ff00";
14099
defparam \int_wrdata[6]~I .operation_mode = "normal";
14100
defparam \int_wrdata[6]~I .output_mode = "reg_only";
14101
defparam \int_wrdata[6]~I .packed_mode = "false";
14102
// synopsys translate_on
14103
 
14104
// atom is at LC4_F23
14105
flex10ke_lcell \int_wrdata[7]~I (
14106
// Equation(s):
14107
// int_wrdata_7 = DFFEA(\d[7]~0 , GLOBAL(\fclk~dataout ), , , \int_addr[1]~144 , , )
14108
 
14109
	.dataa(\int_addr[1]~144 ),
14110
	.datab(vcc),
14111
	.datac(vcc),
14112
	.datad(d_7),
14113
	.aclr(gnd),
14114
	.aload(gnd),
14115
	.clk(clk),
14116
	.cin(gnd),
14117
	.cascin(vcc),
14118
	.devclrn(devclrn),
14119
	.devpor(devpor),
14120
	.combout(),
14121
	.regout(int_wrdata_7),
14122
	.cout(),
14123
	.cascout());
14124
// synopsys translate_off
14125
defparam \int_wrdata[7]~I .clock_enable_mode = "true";
14126
defparam \int_wrdata[7]~I .lut_mask = "ff00";
14127
defparam \int_wrdata[7]~I .operation_mode = "normal";
14128
defparam \int_wrdata[7]~I .output_mode = "reg_only";
14129
defparam \int_wrdata[7]~I .packed_mode = "false";
14130
// synopsys translate_on
14131
 
14132
// atom is at LC6_G34
14133
flex10ke_lcell \rddata[15]~I (
14134
// Equation(s):
14135
// rddata_15 = DFFEA(\rd[15]~0 , GLOBAL(\fclk~dataout ), , , \state.RD3 , , )
14136
 
14137
	.dataa(\state.RD3 ),
14138
	.datab(vcc),
14139
	.datac(vcc),
14140
	.datad(rd_15),
14141
	.aclr(gnd),
14142
	.aload(gnd),
14143
	.clk(clk),
14144
	.cin(gnd),
14145
	.cascin(vcc),
14146
	.devclrn(devclrn),
14147
	.devpor(devpor),
14148
	.combout(),
14149
	.regout(rddata_15),
14150
	.cout(),
14151
	.cascout());
14152
// synopsys translate_off
14153
defparam \rddata[15]~I .clock_enable_mode = "true";
14154
defparam \rddata[15]~I .lut_mask = "ff00";
14155
defparam \rddata[15]~I .operation_mode = "normal";
14156
defparam \rddata[15]~I .output_mode = "reg_only";
14157
defparam \rddata[15]~I .packed_mode = "false";
14158
// synopsys translate_on
14159
 
14160
// atom is at LC3_G34
14161
flex10ke_lcell \rddata[6]~I (
14162
// Equation(s):
14163
// rddata_6 = DFFEA(\rd[6]~9 , GLOBAL(\fclk~dataout ), , , \state.RD3 , , )
14164
 
14165
	.dataa(\state.RD3 ),
14166
	.datab(vcc),
14167
	.datac(vcc),
14168
	.datad(rd_6),
14169
	.aclr(gnd),
14170
	.aload(gnd),
14171
	.clk(clk),
14172
	.cin(gnd),
14173
	.cascin(vcc),
14174
	.devclrn(devclrn),
14175
	.devpor(devpor),
14176
	.combout(),
14177
	.regout(rddata_6),
14178
	.cout(),
14179
	.cascout());
14180
// synopsys translate_off
14181
defparam \rddata[6]~I .clock_enable_mode = "true";
14182
defparam \rddata[6]~I .lut_mask = "ff00";
14183
defparam \rddata[6]~I .operation_mode = "normal";
14184
defparam \rddata[6]~I .output_mode = "reg_only";
14185
defparam \rddata[6]~I .packed_mode = "false";
14186
// synopsys translate_on
14187
 
14188
// atom is at LC5_G34
14189
flex10ke_lcell \rddata[14]~I (
14190
// Equation(s):
14191
// rddata_14 = DFFEA(\rd[14]~1 , GLOBAL(\fclk~dataout ), , , \state.RD3 , , )
14192
 
14193
	.dataa(\state.RD3 ),
14194
	.datab(vcc),
14195
	.datac(vcc),
14196
	.datad(rd_14),
14197
	.aclr(gnd),
14198
	.aload(gnd),
14199
	.clk(clk),
14200
	.cin(gnd),
14201
	.cascin(vcc),
14202
	.devclrn(devclrn),
14203
	.devpor(devpor),
14204
	.combout(),
14205
	.regout(rddata_14),
14206
	.cout(),
14207
	.cascout());
14208
// synopsys translate_off
14209
defparam \rddata[14]~I .clock_enable_mode = "true";
14210
defparam \rddata[14]~I .lut_mask = "ff00";
14211
defparam \rddata[14]~I .operation_mode = "normal";
14212
defparam \rddata[14]~I .output_mode = "reg_only";
14213
defparam \rddata[14]~I .packed_mode = "false";
14214
// synopsys translate_on
14215
 
14216
// atom is at LC4_G34
14217
flex10ke_lcell \rddata[7]~I (
14218
// Equation(s):
14219
// rddata_7 = DFFEA(\rd[7]~8 , GLOBAL(\fclk~dataout ), , , \state.RD3 , , )
14220
 
14221
	.dataa(\state.RD3 ),
14222
	.datab(vcc),
14223
	.datac(vcc),
14224
	.datad(rd_7),
14225
	.aclr(gnd),
14226
	.aload(gnd),
14227
	.clk(clk),
14228
	.cin(gnd),
14229
	.cascin(vcc),
14230
	.devclrn(devclrn),
14231
	.devpor(devpor),
14232
	.combout(),
14233
	.regout(rddata_7),
14234
	.cout(),
14235
	.cascout());
14236
// synopsys translate_off
14237
defparam \rddata[7]~I .clock_enable_mode = "true";
14238
defparam \rddata[7]~I .lut_mask = "ff00";
14239
defparam \rddata[7]~I .operation_mode = "normal";
14240
defparam \rddata[7]~I .output_mode = "reg_only";
14241
defparam \rddata[7]~I .packed_mode = "false";
14242
// synopsys translate_on
14243
 
14244
// atom is at LC8_G1
14245
flex10ke_lcell \rddata[1]~I (
14246
// Equation(s):
14247
// rddata_1 = DFFEA(\rd[1]~14 , GLOBAL(\fclk~dataout ), , , \state.RD3 , , )
14248
 
14249
	.dataa(\state.RD3 ),
14250
	.datab(vcc),
14251
	.datac(vcc),
14252
	.datad(rd_1),
14253
	.aclr(gnd),
14254
	.aload(gnd),
14255
	.clk(clk),
14256
	.cin(gnd),
14257
	.cascin(vcc),
14258
	.devclrn(devclrn),
14259
	.devpor(devpor),
14260
	.combout(),
14261
	.regout(rddata_1),
14262
	.cout(),
14263
	.cascout());
14264
// synopsys translate_off
14265
defparam \rddata[1]~I .clock_enable_mode = "true";
14266
defparam \rddata[1]~I .lut_mask = "ff00";
14267
defparam \rddata[1]~I .operation_mode = "normal";
14268
defparam \rddata[1]~I .output_mode = "reg_only";
14269
defparam \rddata[1]~I .packed_mode = "false";
14270
// synopsys translate_on
14271
 
14272
// atom is at LC4_G24
14273
flex10ke_lcell \rddata[12]~I (
14274
// Equation(s):
14275
// rddata_12 = DFFEA(\rd[12]~3 , GLOBAL(\fclk~dataout ), , , \state.RD3 , , )
14276
 
14277
	.dataa(\state.RD3 ),
14278
	.datab(vcc),
14279
	.datac(vcc),
14280
	.datad(rd_12),
14281
	.aclr(gnd),
14282
	.aload(gnd),
14283
	.clk(clk),
14284
	.cin(gnd),
14285
	.cascin(vcc),
14286
	.devclrn(devclrn),
14287
	.devpor(devpor),
14288
	.combout(),
14289
	.regout(rddata_12),
14290
	.cout(),
14291
	.cascout());
14292
// synopsys translate_off
14293
defparam \rddata[12]~I .clock_enable_mode = "true";
14294
defparam \rddata[12]~I .lut_mask = "ff00";
14295
defparam \rddata[12]~I .operation_mode = "normal";
14296
defparam \rddata[12]~I .output_mode = "reg_only";
14297
defparam \rddata[12]~I .packed_mode = "false";
14298
// synopsys translate_on
14299
 
14300
// atom is at LC1_G1
14301
flex10ke_lcell \rddata[9]~I (
14302
// Equation(s):
14303
// rddata_9 = DFFEA(\rd[9]~6 , GLOBAL(\fclk~dataout ), , , \state.RD3 , , )
14304
 
14305
	.dataa(\state.RD3 ),
14306
	.datab(vcc),
14307
	.datac(vcc),
14308
	.datad(rd_9),
14309
	.aclr(gnd),
14310
	.aload(gnd),
14311
	.clk(clk),
14312
	.cin(gnd),
14313
	.cascin(vcc),
14314
	.devclrn(devclrn),
14315
	.devpor(devpor),
14316
	.combout(),
14317
	.regout(rddata_9),
14318
	.cout(),
14319
	.cascout());
14320
// synopsys translate_off
14321
defparam \rddata[9]~I .clock_enable_mode = "true";
14322
defparam \rddata[9]~I .lut_mask = "ff00";
14323
defparam \rddata[9]~I .operation_mode = "normal";
14324
defparam \rddata[9]~I .output_mode = "reg_only";
14325
defparam \rddata[9]~I .packed_mode = "false";
14326
// synopsys translate_on
14327
 
14328
// atom is at LC8_G27
14329
flex10ke_lcell \rddata[4]~I (
14330
// Equation(s):
14331
// rddata_4 = DFFEA(\rd[4]~11 , GLOBAL(\fclk~dataout ), , , \state.RD3 , , )
14332
 
14333
	.dataa(\state.RD3 ),
14334
	.datab(vcc),
14335
	.datac(vcc),
14336
	.datad(rd_4),
14337
	.aclr(gnd),
14338
	.aload(gnd),
14339
	.clk(clk),
14340
	.cin(gnd),
14341
	.cascin(vcc),
14342
	.devclrn(devclrn),
14343
	.devpor(devpor),
14344
	.combout(),
14345
	.regout(rddata_4),
14346
	.cout(),
14347
	.cascout());
14348
// synopsys translate_off
14349
defparam \rddata[4]~I .clock_enable_mode = "true";
14350
defparam \rddata[4]~I .lut_mask = "ff00";
14351
defparam \rddata[4]~I .operation_mode = "normal";
14352
defparam \rddata[4]~I .output_mode = "reg_only";
14353
defparam \rddata[4]~I .packed_mode = "false";
14354
// synopsys translate_on
14355
 
14356
// atom is at LC4_G29
14357
flex10ke_lcell \rddata[5]~I (
14358
// Equation(s):
14359
// rddata_5 = DFFEA(\rd[5]~10 , GLOBAL(\fclk~dataout ), , , \state.RD3 , , )
14360
 
14361
	.dataa(\state.RD3 ),
14362
	.datab(vcc),
14363
	.datac(vcc),
14364
	.datad(rd_5),
14365
	.aclr(gnd),
14366
	.aload(gnd),
14367
	.clk(clk),
14368
	.cin(gnd),
14369
	.cascin(vcc),
14370
	.devclrn(devclrn),
14371
	.devpor(devpor),
14372
	.combout(),
14373
	.regout(rddata_5),
14374
	.cout(),
14375
	.cascout());
14376
// synopsys translate_off
14377
defparam \rddata[5]~I .clock_enable_mode = "true";
14378
defparam \rddata[5]~I .lut_mask = "ff00";
14379
defparam \rddata[5]~I .operation_mode = "normal";
14380
defparam \rddata[5]~I .output_mode = "reg_only";
14381
defparam \rddata[5]~I .packed_mode = "false";
14382
// synopsys translate_on
14383
 
14384
// atom is at LC6_G5
14385
flex10ke_lcell \rddata[13]~I (
14386
// Equation(s):
14387
// rddata_13 = DFFEA(\rd[13]~2 , GLOBAL(\fclk~dataout ), , , \state.RD3 , , )
14388
 
14389
	.dataa(\state.RD3 ),
14390
	.datab(vcc),
14391
	.datac(vcc),
14392
	.datad(rd_13),
14393
	.aclr(gnd),
14394
	.aload(gnd),
14395
	.clk(clk),
14396
	.cin(gnd),
14397
	.cascin(vcc),
14398
	.devclrn(devclrn),
14399
	.devpor(devpor),
14400
	.combout(),
14401
	.regout(rddata_13),
14402
	.cout(),
14403
	.cascout());
14404
// synopsys translate_off
14405
defparam \rddata[13]~I .clock_enable_mode = "true";
14406
defparam \rddata[13]~I .lut_mask = "ff00";
14407
defparam \rddata[13]~I .operation_mode = "normal";
14408
defparam \rddata[13]~I .output_mode = "reg_only";
14409
defparam \rddata[13]~I .packed_mode = "false";
14410
// synopsys translate_on
14411
 
14412
// atom is at LC3_G25
14413
flex10ke_lcell \rddata[2]~I (
14414
// Equation(s):
14415
// rddata_2 = DFFEA(\rd[2]~13 , GLOBAL(\fclk~dataout ), , , \state.RD3 , , )
14416
 
14417
	.dataa(\state.RD3 ),
14418
	.datab(vcc),
14419
	.datac(vcc),
14420
	.datad(rd_2),
14421
	.aclr(gnd),
14422
	.aload(gnd),
14423
	.clk(clk),
14424
	.cin(gnd),
14425
	.cascin(vcc),
14426
	.devclrn(devclrn),
14427
	.devpor(devpor),
14428
	.combout(),
14429
	.regout(rddata_2),
14430
	.cout(),
14431
	.cascout());
14432
// synopsys translate_off
14433
defparam \rddata[2]~I .clock_enable_mode = "true";
14434
defparam \rddata[2]~I .lut_mask = "ff00";
14435
defparam \rddata[2]~I .operation_mode = "normal";
14436
defparam \rddata[2]~I .output_mode = "reg_only";
14437
defparam \rddata[2]~I .packed_mode = "false";
14438
// synopsys translate_on
14439
 
14440
// atom is at LC4_G25
14441
flex10ke_lcell \rddata[10]~I (
14442
// Equation(s):
14443
// rddata_10 = DFFEA(\rd[10]~5 , GLOBAL(\fclk~dataout ), , , \state.RD3 , , )
14444
 
14445
	.dataa(\state.RD3 ),
14446
	.datab(vcc),
14447
	.datac(vcc),
14448
	.datad(rd_10),
14449
	.aclr(gnd),
14450
	.aload(gnd),
14451
	.clk(clk),
14452
	.cin(gnd),
14453
	.cascin(vcc),
14454
	.devclrn(devclrn),
14455
	.devpor(devpor),
14456
	.combout(),
14457
	.regout(rddata_10),
14458
	.cout(),
14459
	.cascout());
14460
// synopsys translate_off
14461
defparam \rddata[10]~I .clock_enable_mode = "true";
14462
defparam \rddata[10]~I .lut_mask = "ff00";
14463
defparam \rddata[10]~I .operation_mode = "normal";
14464
defparam \rddata[10]~I .output_mode = "reg_only";
14465
defparam \rddata[10]~I .packed_mode = "false";
14466
// synopsys translate_on
14467
 
14468
// atom is at LC1_F18
14469
flex10ke_lcell \rddata[3]~I (
14470
// Equation(s):
14471
// rddata_3 = DFFEA(\rd[3]~12 , GLOBAL(\fclk~dataout ), , , \state.RD3 , , )
14472
 
14473
	.dataa(\state.RD3 ),
14474
	.datab(vcc),
14475
	.datac(vcc),
14476
	.datad(rd_3),
14477
	.aclr(gnd),
14478
	.aload(gnd),
14479
	.clk(clk),
14480
	.cin(gnd),
14481
	.cascin(vcc),
14482
	.devclrn(devclrn),
14483
	.devpor(devpor),
14484
	.combout(),
14485
	.regout(rddata_3),
14486
	.cout(),
14487
	.cascout());
14488
// synopsys translate_off
14489
defparam \rddata[3]~I .clock_enable_mode = "true";
14490
defparam \rddata[3]~I .lut_mask = "ff00";
14491
defparam \rddata[3]~I .operation_mode = "normal";
14492
defparam \rddata[3]~I .output_mode = "reg_only";
14493
defparam \rddata[3]~I .packed_mode = "false";
14494
// synopsys translate_on
14495
 
14496
// atom is at LC7_G11
14497
flex10ke_lcell \rddata[11]~I (
14498
// Equation(s):
14499
// rddata_11 = DFFEA(\rd[11]~4 , GLOBAL(\fclk~dataout ), , , \state.RD3 , , )
14500
 
14501
	.dataa(\state.RD3 ),
14502
	.datab(vcc),
14503
	.datac(vcc),
14504
	.datad(rd_11),
14505
	.aclr(gnd),
14506
	.aload(gnd),
14507
	.clk(clk),
14508
	.cin(gnd),
14509
	.cascin(vcc),
14510
	.devclrn(devclrn),
14511
	.devpor(devpor),
14512
	.combout(),
14513
	.regout(rddata_11),
14514
	.cout(),
14515
	.cascout());
14516
// synopsys translate_off
14517
defparam \rddata[11]~I .clock_enable_mode = "true";
14518
defparam \rddata[11]~I .lut_mask = "ff00";
14519
defparam \rddata[11]~I .operation_mode = "normal";
14520
defparam \rddata[11]~I .output_mode = "reg_only";
14521
defparam \rddata[11]~I .packed_mode = "false";
14522
// synopsys translate_on
14523
 
14524
// atom is at LC3_G17
14525
flex10ke_lcell \rddata[0]~I (
14526
// Equation(s):
14527
// rddata_0 = DFFEA(\rd[0]~15 , GLOBAL(\fclk~dataout ), , , \state.RD3 , , )
14528
 
14529
	.dataa(\state.RD3 ),
14530
	.datab(vcc),
14531
	.datac(vcc),
14532
	.datad(rd_0),
14533
	.aclr(gnd),
14534
	.aload(gnd),
14535
	.clk(clk),
14536
	.cin(gnd),
14537
	.cascin(vcc),
14538
	.devclrn(devclrn),
14539
	.devpor(devpor),
14540
	.combout(),
14541
	.regout(rddata_0),
14542
	.cout(),
14543
	.cascout());
14544
// synopsys translate_off
14545
defparam \rddata[0]~I .clock_enable_mode = "true";
14546
defparam \rddata[0]~I .lut_mask = "ff00";
14547
defparam \rddata[0]~I .operation_mode = "normal";
14548
defparam \rddata[0]~I .output_mode = "reg_only";
14549
defparam \rddata[0]~I .packed_mode = "false";
14550
// synopsys translate_on
14551
 
14552
// atom is at LC4_F16
14553
flex10ke_lcell \rddata[8]~I (
14554
// Equation(s):
14555
// rddata_8 = DFFEA(\rd[8]~7 , GLOBAL(\fclk~dataout ), , , \state.RD3 , , )
14556
 
14557
	.dataa(\state.RD3 ),
14558
	.datab(vcc),
14559
	.datac(vcc),
14560
	.datad(rd_8),
14561
	.aclr(gnd),
14562
	.aload(gnd),
14563
	.clk(clk),
14564
	.cin(gnd),
14565
	.cascin(vcc),
14566
	.devclrn(devclrn),
14567
	.devpor(devpor),
14568
	.combout(),
14569
	.regout(rddata_8),
14570
	.cout(),
14571
	.cascout());
14572
// synopsys translate_off
14573
defparam \rddata[8]~I .clock_enable_mode = "true";
14574
defparam \rddata[8]~I .lut_mask = "ff00";
14575
defparam \rddata[8]~I .operation_mode = "normal";
14576
defparam \rddata[8]~I .output_mode = "reg_only";
14577
defparam \rddata[8]~I .packed_mode = "false";
14578
// synopsys translate_on
14579
 
14580
// atom is at LC2_F23
14581
flex10ke_lcell \int_wrdata[0]~24_I (
14582
// Equation(s):
14583
// int_wrdata_01 = DFFEA(\d[0]~7 , GLOBAL(\fclk~dataout ), , , \int_addr[1]~144 , , )
14584
 
14585
	.dataa(\int_addr[1]~144 ),
14586
	.datab(vcc),
14587
	.datac(vcc),
14588
	.datad(d_0),
14589
	.aclr(gnd),
14590
	.aload(gnd),
14591
	.clk(clk),
14592
	.cin(gnd),
14593
	.cascin(vcc),
14594
	.devclrn(devclrn),
14595
	.devpor(devpor),
14596
	.combout(),
14597
	.regout(int_wrdata_01),
14598
	.cout(),
14599
	.cascout());
14600
// synopsys translate_off
14601
defparam \int_wrdata[0]~24_I .clock_enable_mode = "true";
14602
defparam \int_wrdata[0]~24_I .lut_mask = "ff00";
14603
defparam \int_wrdata[0]~24_I .operation_mode = "normal";
14604
defparam \int_wrdata[0]~24_I .output_mode = "reg_only";
14605
defparam \int_wrdata[0]~24_I .packed_mode = "false";
14606
// synopsys translate_on
14607
 
14608
// atom is at LC2_F25
14609
flex10ke_lcell \int_wrdata[1]~25_I (
14610
// Equation(s):
14611
// int_wrdata_11 = DFFEA(\d[1]~6 , GLOBAL(\fclk~dataout ), , , \int_addr[1]~144 , , )
14612
 
14613
	.dataa(\int_addr[1]~144 ),
14614
	.datab(vcc),
14615
	.datac(vcc),
14616
	.datad(d_1),
14617
	.aclr(gnd),
14618
	.aload(gnd),
14619
	.clk(clk),
14620
	.cin(gnd),
14621
	.cascin(vcc),
14622
	.devclrn(devclrn),
14623
	.devpor(devpor),
14624
	.combout(),
14625
	.regout(int_wrdata_11),
14626
	.cout(),
14627
	.cascout());
14628
// synopsys translate_off
14629
defparam \int_wrdata[1]~25_I .clock_enable_mode = "true";
14630
defparam \int_wrdata[1]~25_I .lut_mask = "ff00";
14631
defparam \int_wrdata[1]~25_I .operation_mode = "normal";
14632
defparam \int_wrdata[1]~25_I .output_mode = "reg_only";
14633
defparam \int_wrdata[1]~25_I .packed_mode = "false";
14634
// synopsys translate_on
14635
 
14636
// atom is at LC8_F27
14637
flex10ke_lcell \int_wrdata[2]~26_I (
14638
// Equation(s):
14639
// int_wrdata_21 = DFFEA(\d[2]~5 , GLOBAL(\fclk~dataout ), , , \int_addr[1]~144 , , )
14640
 
14641
	.dataa(\int_addr[1]~144 ),
14642
	.datab(vcc),
14643
	.datac(vcc),
14644
	.datad(d_2),
14645
	.aclr(gnd),
14646
	.aload(gnd),
14647
	.clk(clk),
14648
	.cin(gnd),
14649
	.cascin(vcc),
14650
	.devclrn(devclrn),
14651
	.devpor(devpor),
14652
	.combout(),
14653
	.regout(int_wrdata_21),
14654
	.cout(),
14655
	.cascout());
14656
// synopsys translate_off
14657
defparam \int_wrdata[2]~26_I .clock_enable_mode = "true";
14658
defparam \int_wrdata[2]~26_I .lut_mask = "ff00";
14659
defparam \int_wrdata[2]~26_I .operation_mode = "normal";
14660
defparam \int_wrdata[2]~26_I .output_mode = "reg_only";
14661
defparam \int_wrdata[2]~26_I .packed_mode = "false";
14662
// synopsys translate_on
14663
 
14664
// atom is at LC1_F28
14665
flex10ke_lcell \int_wrdata[3]~27_I (
14666
// Equation(s):
14667
// int_wrdata_31 = DFFEA(\d[3]~4 , GLOBAL(\fclk~dataout ), , , \int_addr[1]~144 , , )
14668
 
14669
	.dataa(\int_addr[1]~144 ),
14670
	.datab(vcc),
14671
	.datac(vcc),
14672
	.datad(d_3),
14673
	.aclr(gnd),
14674
	.aload(gnd),
14675
	.clk(clk),
14676
	.cin(gnd),
14677
	.cascin(vcc),
14678
	.devclrn(devclrn),
14679
	.devpor(devpor),
14680
	.combout(),
14681
	.regout(int_wrdata_31),
14682
	.cout(),
14683
	.cascout());
14684
// synopsys translate_off
14685
defparam \int_wrdata[3]~27_I .clock_enable_mode = "true";
14686
defparam \int_wrdata[3]~27_I .lut_mask = "ff00";
14687
defparam \int_wrdata[3]~27_I .operation_mode = "normal";
14688
defparam \int_wrdata[3]~27_I .output_mode = "reg_only";
14689
defparam \int_wrdata[3]~27_I .packed_mode = "false";
14690
// synopsys translate_on
14691
 
14692
// atom is at LC5_A29
14693
flex10ke_lcell \int_wrdata[4]~28_I (
14694
// Equation(s):
14695
// int_wrdata_41 = DFFEA(\d[4]~3 , GLOBAL(\fclk~dataout ), , , \int_addr[1]~144 , , )
14696
 
14697
	.dataa(\int_addr[1]~144 ),
14698
	.datab(vcc),
14699
	.datac(vcc),
14700
	.datad(d_4),
14701
	.aclr(gnd),
14702
	.aload(gnd),
14703
	.clk(clk),
14704
	.cin(gnd),
14705
	.cascin(vcc),
14706
	.devclrn(devclrn),
14707
	.devpor(devpor),
14708
	.combout(),
14709
	.regout(int_wrdata_41),
14710
	.cout(),
14711
	.cascout());
14712
// synopsys translate_off
14713
defparam \int_wrdata[4]~28_I .clock_enable_mode = "true";
14714
defparam \int_wrdata[4]~28_I .lut_mask = "ff00";
14715
defparam \int_wrdata[4]~28_I .operation_mode = "normal";
14716
defparam \int_wrdata[4]~28_I .output_mode = "reg_only";
14717
defparam \int_wrdata[4]~28_I .packed_mode = "false";
14718
// synopsys translate_on
14719
 
14720
// atom is at LC2_A31
14721
flex10ke_lcell \int_wrdata[5]~29_I (
14722
// Equation(s):
14723
// int_wrdata_51 = DFFEA(\d[5]~2 , GLOBAL(\fclk~dataout ), , , \int_addr[1]~144 , , )
14724
 
14725
	.dataa(\int_addr[1]~144 ),
14726
	.datab(vcc),
14727
	.datac(vcc),
14728
	.datad(d_5),
14729
	.aclr(gnd),
14730
	.aload(gnd),
14731
	.clk(clk),
14732
	.cin(gnd),
14733
	.cascin(vcc),
14734
	.devclrn(devclrn),
14735
	.devpor(devpor),
14736
	.combout(),
14737
	.regout(int_wrdata_51),
14738
	.cout(),
14739
	.cascout());
14740
// synopsys translate_off
14741
defparam \int_wrdata[5]~29_I .clock_enable_mode = "true";
14742
defparam \int_wrdata[5]~29_I .lut_mask = "ff00";
14743
defparam \int_wrdata[5]~29_I .operation_mode = "normal";
14744
defparam \int_wrdata[5]~29_I .output_mode = "reg_only";
14745
defparam \int_wrdata[5]~29_I .packed_mode = "false";
14746
// synopsys translate_on
14747
 
14748
// atom is at LC2_J33
14749
flex10ke_lcell \int_wrdata[6]~30_I (
14750
// Equation(s):
14751
// int_wrdata_61 = DFFEA(\d[6]~1 , GLOBAL(\fclk~dataout ), , , \int_addr[1]~144 , , )
14752
 
14753
	.dataa(\int_addr[1]~144 ),
14754
	.datab(vcc),
14755
	.datac(vcc),
14756
	.datad(d_6),
14757
	.aclr(gnd),
14758
	.aload(gnd),
14759
	.clk(clk),
14760
	.cin(gnd),
14761
	.cascin(vcc),
14762
	.devclrn(devclrn),
14763
	.devpor(devpor),
14764
	.combout(),
14765
	.regout(int_wrdata_61),
14766
	.cout(),
14767
	.cascout());
14768
// synopsys translate_off
14769
defparam \int_wrdata[6]~30_I .clock_enable_mode = "true";
14770
defparam \int_wrdata[6]~30_I .lut_mask = "ff00";
14771
defparam \int_wrdata[6]~30_I .operation_mode = "normal";
14772
defparam \int_wrdata[6]~30_I .output_mode = "reg_only";
14773
defparam \int_wrdata[6]~30_I .packed_mode = "false";
14774
// synopsys translate_on
14775
 
14776
// atom is at LC2_A35
14777
flex10ke_lcell \int_wrdata[7]~31_I (
14778
// Equation(s):
14779
// int_wrdata_71 = DFFEA(\d[7]~0 , GLOBAL(\fclk~dataout ), , , \int_addr[1]~144 , , )
14780
 
14781
	.dataa(\int_addr[1]~144 ),
14782
	.datab(vcc),
14783
	.datac(vcc),
14784
	.datad(d_7),
14785
	.aclr(gnd),
14786
	.aload(gnd),
14787
	.clk(clk),
14788
	.cin(gnd),
14789
	.cascin(vcc),
14790
	.devclrn(devclrn),
14791
	.devpor(devpor),
14792
	.combout(),
14793
	.regout(int_wrdata_71),
14794
	.cout(),
14795
	.cascout());
14796
// synopsys translate_off
14797
defparam \int_wrdata[7]~31_I .clock_enable_mode = "true";
14798
defparam \int_wrdata[7]~31_I .lut_mask = "ff00";
14799
defparam \int_wrdata[7]~31_I .operation_mode = "normal";
14800
defparam \int_wrdata[7]~31_I .output_mode = "reg_only";
14801
defparam \int_wrdata[7]~31_I .packed_mode = "false";
14802
// synopsys translate_on
14803
 
14804
// atom is at LC3_F9
14805
flex10ke_lcell \state.RD2~I (
14806
// Equation(s):
14807
// \state.RD2  = DFFEA(\state.RD1 , GLOBAL(\fclk~dataout ), , , , , )
14808
 
14809
	.dataa(vcc),
14810
	.datab(vcc),
14811
	.datac(vcc),
14812
	.datad(\state.RD1 ),
14813
	.aclr(gnd),
14814
	.aload(gnd),
14815
	.clk(clk),
14816
	.cin(gnd),
14817
	.cascin(vcc),
14818
	.devclrn(devclrn),
14819
	.devpor(devpor),
14820
	.combout(),
14821
	.regout(\state.RD2 ),
14822
	.cout(),
14823
	.cascout());
14824
// synopsys translate_off
14825
defparam \state.RD2~I .clock_enable_mode = "false";
14826
defparam \state.RD2~I .lut_mask = "ff00";
14827
defparam \state.RD2~I .operation_mode = "normal";
14828
defparam \state.RD2~I .output_mode = "reg_only";
14829
defparam \state.RD2~I .packed_mode = "false";
14830
// synopsys translate_on
14831
 
14832
// atom is at LC4_F9
14833
flex10ke_lcell \state.RD3~I (
14834
// Equation(s):
14835
// \state.RD3  = DFFEA(\state.RD2 , GLOBAL(\fclk~dataout ), , , , , )
14836
 
14837
	.dataa(vcc),
14838
	.datab(vcc),
14839
	.datac(vcc),
14840
	.datad(\state.RD2 ),
14841
	.aclr(gnd),
14842
	.aload(gnd),
14843
	.clk(clk),
14844
	.cin(gnd),
14845
	.cascin(vcc),
14846
	.devclrn(devclrn),
14847
	.devpor(devpor),
14848
	.combout(),
14849
	.regout(\state.RD3 ),
14850
	.cout(),
14851
	.cascout());
14852
// synopsys translate_off
14853
defparam \state.RD3~I .clock_enable_mode = "false";
14854
defparam \state.RD3~I .lut_mask = "ff00";
14855
defparam \state.RD3~I .operation_mode = "normal";
14856
defparam \state.RD3~I .output_mode = "reg_only";
14857
defparam \state.RD3~I .packed_mode = "false";
14858
// synopsys translate_on
14859
 
14860
// atom is at LC6_F21
14861
flex10ke_lcell \state.RD4~I (
14862
// Equation(s):
14863
// \state.RD4  = DFFEA(\state.RD3 , GLOBAL(\fclk~dataout ), , , , , )
14864
 
14865
	.dataa(vcc),
14866
	.datab(vcc),
14867
	.datac(vcc),
14868
	.datad(\state.RD3 ),
14869
	.aclr(gnd),
14870
	.aload(gnd),
14871
	.clk(clk),
14872
	.cin(gnd),
14873
	.cascin(vcc),
14874
	.devclrn(devclrn),
14875
	.devpor(devpor),
14876
	.combout(),
14877
	.regout(\state.RD4 ),
14878
	.cout(),
14879
	.cascout());
14880
// synopsys translate_off
14881
defparam \state.RD4~I .clock_enable_mode = "false";
14882
defparam \state.RD4~I .lut_mask = "ff00";
14883
defparam \state.RD4~I .operation_mode = "normal";
14884
defparam \state.RD4~I .output_mode = "reg_only";
14885
defparam \state.RD4~I .packed_mode = "false";
14886
// synopsys translate_on
14887
 
14888
// atom is at LC3_J6
14889
flex10ke_lcell \rst_sync[0]~I (
14890
// Equation(s):
14891
// rst_sync[0] = DFFEA(!rst_out_n, GLOBAL(\fclk~dataout ), , , , , )
14892
 
14893
	.dataa(vcc),
14894
	.datab(vcc),
14895
	.datac(vcc),
14896
	.datad(rst_out_n),
14897
	.aclr(gnd),
14898
	.aload(gnd),
14899
	.clk(clk),
14900
	.cin(gnd),
14901
	.cascin(vcc),
14902
	.devclrn(devclrn),
14903
	.devpor(devpor),
14904
	.combout(),
14905
	.regout(rst_sync[0]),
14906
	.cout(),
14907
	.cascout());
14908
// synopsys translate_off
14909
defparam \rst_sync[0]~I .clock_enable_mode = "false";
14910
defparam \rst_sync[0]~I .lut_mask = "00ff";
14911
defparam \rst_sync[0]~I .operation_mode = "normal";
14912
defparam \rst_sync[0]~I .output_mode = "reg_only";
14913
defparam \rst_sync[0]~I .packed_mode = "false";
14914
// synopsys translate_on
14915
 
14916
// atom is at LC4_J6
14917
flex10ke_lcell \rst_sync[1]~I (
14918
// Equation(s):
14919
// rst_sync[1] = DFFEA(rst_sync[0], GLOBAL(\fclk~dataout ), , , , , )
14920
 
14921
	.dataa(vcc),
14922
	.datab(vcc),
14923
	.datac(vcc),
14924
	.datad(rst_sync[0]),
14925
	.aclr(gnd),
14926
	.aload(gnd),
14927
	.clk(clk),
14928
	.cin(gnd),
14929
	.cascin(vcc),
14930
	.devclrn(devclrn),
14931
	.devpor(devpor),
14932
	.combout(),
14933
	.regout(rst_sync[1]),
14934
	.cout(),
14935
	.cascout());
14936
// synopsys translate_off
14937
defparam \rst_sync[1]~I .clock_enable_mode = "false";
14938
defparam \rst_sync[1]~I .lut_mask = "ff00";
14939
defparam \rst_sync[1]~I .operation_mode = "normal";
14940
defparam \rst_sync[1]~I .output_mode = "reg_only";
14941
defparam \rst_sync[1]~I .packed_mode = "false";
14942
// synopsys translate_on
14943
 
14944
// atom is at LC6_F20
14945
flex10ke_lcell \state.WR1~I (
14946
// Equation(s):
14947
// \state.WR1  = DFFEA(!\WideOr0~18  & !rst_sync[1] & !next_cycle_1 & Selector1, GLOBAL(\fclk~dataout ), , , , , )
14948
 
14949
	.dataa(\WideOr0~18 ),
14950
	.datab(rst_sync[1]),
14951
	.datac(next_cycle_1),
14952
	.datad(Selector1),
14953
	.aclr(gnd),
14954
	.aload(gnd),
14955
	.clk(clk),
14956
	.cin(gnd),
14957
	.cascin(vcc),
14958
	.devclrn(devclrn),
14959
	.devpor(devpor),
14960
	.combout(),
14961
	.regout(\state.WR1 ),
14962
	.cout(),
14963
	.cascout());
14964
// synopsys translate_off
14965
defparam \state.WR1~I .clock_enable_mode = "false";
14966
defparam \state.WR1~I .lut_mask = "0100";
14967
defparam \state.WR1~I .operation_mode = "normal";
14968
defparam \state.WR1~I .output_mode = "reg_only";
14969
defparam \state.WR1~I .packed_mode = "false";
14970
// synopsys translate_on
14971
 
14972
// atom is at LC7_F20
14973
flex10ke_lcell \state.WR2~I (
14974
// Equation(s):
14975
// \state.WR2  = DFFEA(\state.WR1 , GLOBAL(\fclk~dataout ), , , , , )
14976
 
14977
	.dataa(vcc),
14978
	.datab(vcc),
14979
	.datac(vcc),
14980
	.datad(\state.WR1 ),
14981
	.aclr(gnd),
14982
	.aload(gnd),
14983
	.clk(clk),
14984
	.cin(gnd),
14985
	.cascin(vcc),
14986
	.devclrn(devclrn),
14987
	.devpor(devpor),
14988
	.combout(),
14989
	.regout(\state.WR2 ),
14990
	.cout(),
14991
	.cascout());
14992
// synopsys translate_off
14993
defparam \state.WR2~I .clock_enable_mode = "false";
14994
defparam \state.WR2~I .lut_mask = "ff00";
14995
defparam \state.WR2~I .operation_mode = "normal";
14996
defparam \state.WR2~I .output_mode = "reg_only";
14997
defparam \state.WR2~I .packed_mode = "false";
14998
// synopsys translate_on
14999
 
15000
// atom is at LC5_F20
15001
flex10ke_lcell \state.WR3~I (
15002
// Equation(s):
15003
// \state.WR3  = DFFEA(\state.WR2 , GLOBAL(\fclk~dataout ), , , , , )
15004
 
15005
	.dataa(vcc),
15006
	.datab(vcc),
15007
	.datac(vcc),
15008
	.datad(\state.WR2 ),
15009
	.aclr(gnd),
15010
	.aload(gnd),
15011
	.clk(clk),
15012
	.cin(gnd),
15013
	.cascin(vcc),
15014
	.devclrn(devclrn),
15015
	.devpor(devpor),
15016
	.combout(),
15017
	.regout(\state.WR3 ),
15018
	.cout(),
15019
	.cascout());
15020
// synopsys translate_off
15021
defparam \state.WR3~I .clock_enable_mode = "false";
15022
defparam \state.WR3~I .lut_mask = "ff00";
15023
defparam \state.WR3~I .operation_mode = "normal";
15024
defparam \state.WR3~I .output_mode = "reg_only";
15025
defparam \state.WR3~I .packed_mode = "false";
15026
// synopsys translate_on
15027
 
15028
// atom is at LC5_F21
15029
flex10ke_lcell \state.WR4~I (
15030
// Equation(s):
15031
// \state.WR4  = DFFEA(\state.WR3 , GLOBAL(\fclk~dataout ), , , , , )
15032
 
15033
	.dataa(vcc),
15034
	.datab(vcc),
15035
	.datac(vcc),
15036
	.datad(\state.WR3 ),
15037
	.aclr(gnd),
15038
	.aload(gnd),
15039
	.clk(clk),
15040
	.cin(gnd),
15041
	.cascin(vcc),
15042
	.devclrn(devclrn),
15043
	.devpor(devpor),
15044
	.combout(),
15045
	.regout(\state.WR4 ),
15046
	.cout(),
15047
	.cascout());
15048
// synopsys translate_off
15049
defparam \state.WR4~I .clock_enable_mode = "false";
15050
defparam \state.WR4~I .lut_mask = "ff00";
15051
defparam \state.WR4~I .operation_mode = "normal";
15052
defparam \state.WR4~I .output_mode = "reg_only";
15053
defparam \state.WR4~I .packed_mode = "false";
15054
// synopsys translate_on
15055
 
15056
// atom is at LC3_F20
15057
flex10ke_lcell \state.RFSH1~I (
15058
// Equation(s):
15059
// \state.RFSH1  = DFFEA(!\WideOr0~18  & (rst_sync[1] # next_cycle_1), GLOBAL(\fclk~dataout ), , , , , )
15060
 
15061
	.dataa(vcc),
15062
	.datab(\WideOr0~18 ),
15063
	.datac(rst_sync[1]),
15064
	.datad(next_cycle_1),
15065
	.aclr(gnd),
15066
	.aload(gnd),
15067
	.clk(clk),
15068
	.cin(gnd),
15069
	.cascin(vcc),
15070
	.devclrn(devclrn),
15071
	.devpor(devpor),
15072
	.combout(),
15073
	.regout(\state.RFSH1 ),
15074
	.cout(),
15075
	.cascout());
15076
// synopsys translate_off
15077
defparam \state.RFSH1~I .clock_enable_mode = "false";
15078
defparam \state.RFSH1~I .lut_mask = "3330";
15079
defparam \state.RFSH1~I .operation_mode = "normal";
15080
defparam \state.RFSH1~I .output_mode = "reg_only";
15081
defparam \state.RFSH1~I .packed_mode = "false";
15082
// synopsys translate_on
15083
 
15084
// atom is at LC1_F22
15085
flex10ke_lcell \state.RFSH2~I (
15086
// Equation(s):
15087
// \state.RFSH2  = DFFEA(\state.RFSH1 , GLOBAL(\fclk~dataout ), , , , , )
15088
 
15089
	.dataa(vcc),
15090
	.datab(vcc),
15091
	.datac(vcc),
15092
	.datad(\state.RFSH1 ),
15093
	.aclr(gnd),
15094
	.aload(gnd),
15095
	.clk(clk),
15096
	.cin(gnd),
15097
	.cascin(vcc),
15098
	.devclrn(devclrn),
15099
	.devpor(devpor),
15100
	.combout(),
15101
	.regout(\state.RFSH2 ),
15102
	.cout(),
15103
	.cascout());
15104
// synopsys translate_off
15105
defparam \state.RFSH2~I .clock_enable_mode = "false";
15106
defparam \state.RFSH2~I .lut_mask = "ff00";
15107
defparam \state.RFSH2~I .operation_mode = "normal";
15108
defparam \state.RFSH2~I .output_mode = "reg_only";
15109
defparam \state.RFSH2~I .packed_mode = "false";
15110
// synopsys translate_on
15111
 
15112
// atom is at LC6_F22
15113
flex10ke_lcell \state.RFSH3~I (
15114
// Equation(s):
15115
// \state.RFSH3  = DFFEA(\state.RFSH2 , GLOBAL(\fclk~dataout ), , , , , )
15116
 
15117
	.dataa(vcc),
15118
	.datab(vcc),
15119
	.datac(vcc),
15120
	.datad(\state.RFSH2 ),
15121
	.aclr(gnd),
15122
	.aload(gnd),
15123
	.clk(clk),
15124
	.cin(gnd),
15125
	.cascin(vcc),
15126
	.devclrn(devclrn),
15127
	.devpor(devpor),
15128
	.combout(),
15129
	.regout(\state.RFSH3 ),
15130
	.cout(),
15131
	.cascout());
15132
// synopsys translate_off
15133
defparam \state.RFSH3~I .clock_enable_mode = "false";
15134
defparam \state.RFSH3~I .lut_mask = "ff00";
15135
defparam \state.RFSH3~I .operation_mode = "normal";
15136
defparam \state.RFSH3~I .output_mode = "reg_only";
15137
defparam \state.RFSH3~I .packed_mode = "false";
15138
// synopsys translate_on
15139
 
15140
// atom is at LC2_F21
15141
flex10ke_lcell \state.RFSH4~I (
15142
// Equation(s):
15143
// \state.RFSH4  = DFFEA(!\state.RFSH3 , GLOBAL(\fclk~dataout ), , , , , )
15144
 
15145
	.dataa(vcc),
15146
	.datab(vcc),
15147
	.datac(vcc),
15148
	.datad(\state.RFSH3 ),
15149
	.aclr(gnd),
15150
	.aload(gnd),
15151
	.clk(clk),
15152
	.cin(gnd),
15153
	.cascin(vcc),
15154
	.devclrn(devclrn),
15155
	.devpor(devpor),
15156
	.combout(),
15157
	.regout(\state.RFSH4 ),
15158
	.cout(),
15159
	.cascout());
15160
// synopsys translate_off
15161
defparam \state.RFSH4~I .clock_enable_mode = "false";
15162
defparam \state.RFSH4~I .lut_mask = "00ff";
15163
defparam \state.RFSH4~I .operation_mode = "normal";
15164
defparam \state.RFSH4~I .output_mode = "reg_only";
15165
defparam \state.RFSH4~I .packed_mode = "false";
15166
// synopsys translate_on
15167
 
15168
// atom is at LC3_F21
15169
flex10ke_lcell \WideOr0~18_I (
15170
// Equation(s):
15171
// \WideOr0~18  = !\state.RD4  & !\state.WR4  & \state.RFSH4 
15172
 
15173
	.dataa(vcc),
15174
	.datab(\state.RD4 ),
15175
	.datac(\state.WR4 ),
15176
	.datad(\state.RFSH4 ),
15177
	.aclr(gnd),
15178
	.aload(gnd),
15179
	.clk(gnd),
15180
	.cin(gnd),
15181
	.cascin(vcc),
15182
	.devclrn(devclrn),
15183
	.devpor(devpor),
15184
	.combout(\WideOr0~18 ),
15185
	.regout(),
15186
	.cout(),
15187
	.cascout());
15188
// synopsys translate_off
15189
defparam \WideOr0~18_I .clock_enable_mode = "false";
15190
defparam \WideOr0~18_I .lut_mask = "0300";
15191
defparam \WideOr0~18_I .operation_mode = "normal";
15192
defparam \WideOr0~18_I .output_mode = "comb_only";
15193
defparam \WideOr0~18_I .packed_mode = "false";
15194
// synopsys translate_on
15195
 
15196
// atom is at LC2_F20
15197
flex10ke_lcell \state.RD1~I (
15198
// Equation(s):
15199
// \state.RD1  = DFFEA(!\WideOr0~18  & !Selector1 & !rst_sync[1] & !next_cycle_1, GLOBAL(\fclk~dataout ), , , , , )
15200
 
15201
	.dataa(\WideOr0~18 ),
15202
	.datab(Selector1),
15203
	.datac(rst_sync[1]),
15204
	.datad(next_cycle_1),
15205
	.aclr(gnd),
15206
	.aload(gnd),
15207
	.clk(clk),
15208
	.cin(gnd),
15209
	.cascin(vcc),
15210
	.devclrn(devclrn),
15211
	.devpor(devpor),
15212
	.combout(),
15213
	.regout(\state.RD1 ),
15214
	.cout(),
15215
	.cascout());
15216
// synopsys translate_off
15217
defparam \state.RD1~I .clock_enable_mode = "false";
15218
defparam \state.RD1~I .lut_mask = "0001";
15219
defparam \state.RD1~I .operation_mode = "normal";
15220
defparam \state.RD1~I .output_mode = "reg_only";
15221
defparam \state.RD1~I .packed_mode = "false";
15222
// synopsys translate_on
15223
 
15224
// atom is at LC4_F20
15225
flex10ke_lcell \int_addr[1]~144_I (
15226
// Equation(s):
15227
// \int_addr[1]~144  = !\WideOr0~18 
15228
 
15229
	.dataa(vcc),
15230
	.datab(vcc),
15231
	.datac(vcc),
15232
	.datad(\WideOr0~18 ),
15233
	.aclr(gnd),
15234
	.aload(gnd),
15235
	.clk(gnd),
15236
	.cin(gnd),
15237
	.cascin(vcc),
15238
	.devclrn(devclrn),
15239
	.devpor(devpor),
15240
	.combout(\int_addr[1]~144 ),
15241
	.regout(),
15242
	.cout(),
15243
	.cascout());
15244
// synopsys translate_off
15245
defparam \int_addr[1]~144_I .clock_enable_mode = "false";
15246
defparam \int_addr[1]~144_I .lut_mask = "00ff";
15247
defparam \int_addr[1]~144_I .operation_mode = "normal";
15248
defparam \int_addr[1]~144_I .output_mode = "comb_only";
15249
defparam \int_addr[1]~144_I .packed_mode = "false";
15250
// synopsys translate_on
15251
 
15252
// atom is at LC4_I15
15253
flex10ke_lcell \int_addr[11]~I (
15254
// Equation(s):
15255
// int_addr[11] = DFFEA(next_cycle_01 & \a~dataout [12] # !next_cycle_01 & (dram_addr_11), GLOBAL(\fclk~dataout ), , , \int_addr[1]~144 , , )
15256
 
15257
	.dataa(\int_addr[1]~144 ),
15258
	.datab(next_cycle_01),
15259
	.datac(a_12),
15260
	.datad(dram_addr_11),
15261
	.aclr(gnd),
15262
	.aload(gnd),
15263
	.clk(clk),
15264
	.cin(gnd),
15265
	.cascin(vcc),
15266
	.devclrn(devclrn),
15267
	.devpor(devpor),
15268
	.combout(),
15269
	.regout(int_addr[11]),
15270
	.cout(),
15271
	.cascout());
15272
// synopsys translate_off
15273
defparam \int_addr[11]~I .clock_enable_mode = "true";
15274
defparam \int_addr[11]~I .lut_mask = "f3c0";
15275
defparam \int_addr[11]~I .operation_mode = "normal";
15276
defparam \int_addr[11]~I .output_mode = "reg_only";
15277
defparam \int_addr[11]~I .packed_mode = "false";
15278
// synopsys translate_on
15279
 
15280
// atom is at LC2_I23
15281
flex10ke_lcell \int_addr[1]~I (
15282
// Equation(s):
15283
// int_addr[1] = DFFEA(next_cycle_01 & \a~dataout [2] # !next_cycle_01 & (q_1), GLOBAL(\fclk~dataout ), , , \int_addr[1]~144 , , )
15284
 
15285
	.dataa(\int_addr[1]~144 ),
15286
	.datab(next_cycle_01),
15287
	.datac(a_2),
15288
	.datad(q_1),
15289
	.aclr(gnd),
15290
	.aload(gnd),
15291
	.clk(clk),
15292
	.cin(gnd),
15293
	.cascin(vcc),
15294
	.devclrn(devclrn),
15295
	.devpor(devpor),
15296
	.combout(),
15297
	.regout(int_addr[1]),
15298
	.cout(),
15299
	.cascout());
15300
// synopsys translate_off
15301
defparam \int_addr[1]~I .clock_enable_mode = "true";
15302
defparam \int_addr[1]~I .lut_mask = "f3c0";
15303
defparam \int_addr[1]~I .operation_mode = "normal";
15304
defparam \int_addr[1]~I .output_mode = "reg_only";
15305
defparam \int_addr[1]~I .packed_mode = "false";
15306
// synopsys translate_on
15307
 
15308
// atom is at LC1_I13
15309
flex10ke_lcell \int_addr[12]~I (
15310
// Equation(s):
15311
// int_addr[12] = DFFEA(next_cycle_01 & \a~dataout [13] # !next_cycle_01 & (dram_addr_12), GLOBAL(\fclk~dataout ), , , \int_addr[1]~144 , , )
15312
 
15313
	.dataa(\int_addr[1]~144 ),
15314
	.datab(next_cycle_01),
15315
	.datac(a_13),
15316
	.datad(dram_addr_12),
15317
	.aclr(gnd),
15318
	.aload(gnd),
15319
	.clk(clk),
15320
	.cin(gnd),
15321
	.cascin(vcc),
15322
	.devclrn(devclrn),
15323
	.devpor(devpor),
15324
	.combout(),
15325
	.regout(int_addr[12]),
15326
	.cout(),
15327
	.cascout());
15328
// synopsys translate_off
15329
defparam \int_addr[12]~I .clock_enable_mode = "true";
15330
defparam \int_addr[12]~I .lut_mask = "f3c0";
15331
defparam \int_addr[12]~I .operation_mode = "normal";
15332
defparam \int_addr[12]~I .output_mode = "reg_only";
15333
defparam \int_addr[12]~I .packed_mode = "false";
15334
// synopsys translate_on
15335
 
15336
// atom is at LC7_I23
15337
flex10ke_lcell \int_addr[2]~I (
15338
// Equation(s):
15339
// int_addr[2] = DFFEA(next_cycle_01 & \a~dataout [3] # !next_cycle_01 & (q_2), GLOBAL(\fclk~dataout ), , , \int_addr[1]~144 , , )
15340
 
15341
	.dataa(\int_addr[1]~144 ),
15342
	.datab(next_cycle_01),
15343
	.datac(a_3),
15344
	.datad(q_2),
15345
	.aclr(gnd),
15346
	.aload(gnd),
15347
	.clk(clk),
15348
	.cin(gnd),
15349
	.cascin(vcc),
15350
	.devclrn(devclrn),
15351
	.devpor(devpor),
15352
	.combout(),
15353
	.regout(int_addr[2]),
15354
	.cout(),
15355
	.cascout());
15356
// synopsys translate_off
15357
defparam \int_addr[2]~I .clock_enable_mode = "true";
15358
defparam \int_addr[2]~I .lut_mask = "f3c0";
15359
defparam \int_addr[2]~I .operation_mode = "normal";
15360
defparam \int_addr[2]~I .output_mode = "reg_only";
15361
defparam \int_addr[2]~I .packed_mode = "false";
15362
// synopsys translate_on
15363
 
15364
// atom is at LC4_I13
15365
flex10ke_lcell \int_addr[13]~I (
15366
// Equation(s):
15367
// int_addr[13] = DFFEA(dram_addr_13, GLOBAL(\fclk~dataout ), , , \int_addr[1]~144 , , )
15368
 
15369
	.dataa(\int_addr[1]~144 ),
15370
	.datab(vcc),
15371
	.datac(vcc),
15372
	.datad(dram_addr_13),
15373
	.aclr(gnd),
15374
	.aload(gnd),
15375
	.clk(clk),
15376
	.cin(gnd),
15377
	.cascin(vcc),
15378
	.devclrn(devclrn),
15379
	.devpor(devpor),
15380
	.combout(),
15381
	.regout(int_addr[13]),
15382
	.cout(),
15383
	.cascout());
15384
// synopsys translate_off
15385
defparam \int_addr[13]~I .clock_enable_mode = "true";
15386
defparam \int_addr[13]~I .lut_mask = "ff00";
15387
defparam \int_addr[13]~I .operation_mode = "normal";
15388
defparam \int_addr[13]~I .output_mode = "reg_only";
15389
defparam \int_addr[13]~I .packed_mode = "false";
15390
// synopsys translate_on
15391
 
15392
// atom is at LC8_I23
15393
flex10ke_lcell \int_addr[3]~I (
15394
// Equation(s):
15395
// int_addr[3] = DFFEA(next_cycle_01 & \a~dataout [4] # !next_cycle_01 & (q_3), GLOBAL(\fclk~dataout ), , , \int_addr[1]~144 , , )
15396
 
15397
	.dataa(\int_addr[1]~144 ),
15398
	.datab(next_cycle_01),
15399
	.datac(a_4),
15400
	.datad(q_3),
15401
	.aclr(gnd),
15402
	.aload(gnd),
15403
	.clk(clk),
15404
	.cin(gnd),
15405
	.cascin(vcc),
15406
	.devclrn(devclrn),
15407
	.devpor(devpor),
15408
	.combout(),
15409
	.regout(int_addr[3]),
15410
	.cout(),
15411
	.cascout());
15412
// synopsys translate_off
15413
defparam \int_addr[3]~I .clock_enable_mode = "true";
15414
defparam \int_addr[3]~I .lut_mask = "f3c0";
15415
defparam \int_addr[3]~I .operation_mode = "normal";
15416
defparam \int_addr[3]~I .output_mode = "reg_only";
15417
defparam \int_addr[3]~I .packed_mode = "false";
15418
// synopsys translate_on
15419
 
15420
// atom is at LC3_I11
15421
flex10ke_lcell \int_addr[14]~I (
15422
// Equation(s):
15423
// int_addr[14] = DFFEA(next_cycle_01 & Mux6 # !next_cycle_01 & (p7ffd_int_3), GLOBAL(\fclk~dataout ), , , \int_addr[1]~144 , , )
15424
 
15425
	.dataa(\int_addr[1]~144 ),
15426
	.datab(next_cycle_01),
15427
	.datac(Mux6),
15428
	.datad(p7ffd_int_3),
15429
	.aclr(gnd),
15430
	.aload(gnd),
15431
	.clk(clk),
15432
	.cin(gnd),
15433
	.cascin(vcc),
15434
	.devclrn(devclrn),
15435
	.devpor(devpor),
15436
	.combout(),
15437
	.regout(int_addr[14]),
15438
	.cout(),
15439
	.cascout());
15440
// synopsys translate_off
15441
defparam \int_addr[14]~I .clock_enable_mode = "true";
15442
defparam \int_addr[14]~I .lut_mask = "f3c0";
15443
defparam \int_addr[14]~I .operation_mode = "normal";
15444
defparam \int_addr[14]~I .output_mode = "reg_only";
15445
defparam \int_addr[14]~I .packed_mode = "false";
15446
// synopsys translate_on
15447
 
15448
// atom is at LC1_I11
15449
flex10ke_lcell \int_addr[4]~I (
15450
// Equation(s):
15451
// int_addr[4] = DFFEA(next_cycle_01 & \a~dataout [5] # !next_cycle_01 & (q_31), GLOBAL(\fclk~dataout ), , , \int_addr[1]~144 , , )
15452
 
15453
	.dataa(\int_addr[1]~144 ),
15454
	.datab(next_cycle_01),
15455
	.datac(a_5),
15456
	.datad(q_31),
15457
	.aclr(gnd),
15458
	.aload(gnd),
15459
	.clk(clk),
15460
	.cin(gnd),
15461
	.cascin(vcc),
15462
	.devclrn(devclrn),
15463
	.devpor(devpor),
15464
	.combout(),
15465
	.regout(int_addr[4]),
15466
	.cout(),
15467
	.cascout());
15468
// synopsys translate_off
15469
defparam \int_addr[4]~I .clock_enable_mode = "true";
15470
defparam \int_addr[4]~I .lut_mask = "f3c0";
15471
defparam \int_addr[4]~I .operation_mode = "normal";
15472
defparam \int_addr[4]~I .output_mode = "reg_only";
15473
defparam \int_addr[4]~I .packed_mode = "false";
15474
// synopsys translate_on
15475
 
15476
// atom is at LC3_I10
15477
flex10ke_lcell \int_addr[15]~I (
15478
// Equation(s):
15479
// int_addr[15] = DFFEA(Mux5 # !next_cycle_01, GLOBAL(\fclk~dataout ), , , \int_addr[1]~144 , , )
15480
 
15481
	.dataa(\int_addr[1]~144 ),
15482
	.datab(vcc),
15483
	.datac(next_cycle_01),
15484
	.datad(Mux5),
15485
	.aclr(gnd),
15486
	.aload(gnd),
15487
	.clk(clk),
15488
	.cin(gnd),
15489
	.cascin(vcc),
15490
	.devclrn(devclrn),
15491
	.devpor(devpor),
15492
	.combout(),
15493
	.regout(int_addr[15]),
15494
	.cout(),
15495
	.cascout());
15496
// synopsys translate_off
15497
defparam \int_addr[15]~I .clock_enable_mode = "true";
15498
defparam \int_addr[15]~I .lut_mask = "ff0f";
15499
defparam \int_addr[15]~I .operation_mode = "normal";
15500
defparam \int_addr[15]~I .output_mode = "reg_only";
15501
defparam \int_addr[15]~I .packed_mode = "false";
15502
// synopsys translate_on
15503
 
15504
// atom is at LC2_I10
15505
flex10ke_lcell \int_addr[5]~I (
15506
// Equation(s):
15507
// int_addr[5] = DFFEA(next_cycle_01 & \a~dataout [6] # !next_cycle_01 & (q_4), GLOBAL(\fclk~dataout ), , , \int_addr[1]~144 , , )
15508
 
15509
	.dataa(\int_addr[1]~144 ),
15510
	.datab(next_cycle_01),
15511
	.datac(a_6),
15512
	.datad(q_4),
15513
	.aclr(gnd),
15514
	.aload(gnd),
15515
	.clk(clk),
15516
	.cin(gnd),
15517
	.cascin(vcc),
15518
	.devclrn(devclrn),
15519
	.devpor(devpor),
15520
	.combout(),
15521
	.regout(int_addr[5]),
15522
	.cout(),
15523
	.cascout());
15524
// synopsys translate_off
15525
defparam \int_addr[5]~I .clock_enable_mode = "true";
15526
defparam \int_addr[5]~I .lut_mask = "f3c0";
15527
defparam \int_addr[5]~I .operation_mode = "normal";
15528
defparam \int_addr[5]~I .output_mode = "reg_only";
15529
defparam \int_addr[5]~I .packed_mode = "false";
15530
// synopsys translate_on
15531
 
15532
// atom is at LC5_I11
15533
flex10ke_lcell \int_addr[16]~I (
15534
// Equation(s):
15535
// int_addr[16] = DFFEA(Mux4 & next_cycle_01, GLOBAL(\fclk~dataout ), , , \int_addr[1]~144 , , )
15536
 
15537
	.dataa(\int_addr[1]~144 ),
15538
	.datab(vcc),
15539
	.datac(Mux4),
15540
	.datad(next_cycle_01),
15541
	.aclr(gnd),
15542
	.aload(gnd),
15543
	.clk(clk),
15544
	.cin(gnd),
15545
	.cascin(vcc),
15546
	.devclrn(devclrn),
15547
	.devpor(devpor),
15548
	.combout(),
15549
	.regout(int_addr[16]),
15550
	.cout(),
15551
	.cascout());
15552
// synopsys translate_off
15553
defparam \int_addr[16]~I .clock_enable_mode = "true";
15554
defparam \int_addr[16]~I .lut_mask = "f000";
15555
defparam \int_addr[16]~I .operation_mode = "normal";
15556
defparam \int_addr[16]~I .output_mode = "reg_only";
15557
defparam \int_addr[16]~I .packed_mode = "false";
15558
// synopsys translate_on
15559
 
15560
// atom is at LC4_I11
15561
flex10ke_lcell \int_addr[6]~I (
15562
// Equation(s):
15563
// int_addr[6] = DFFEA(next_cycle_01 & \a~dataout [7] # !next_cycle_01 & (q_5), GLOBAL(\fclk~dataout ), , , \int_addr[1]~144 , , )
15564
 
15565
	.dataa(\int_addr[1]~144 ),
15566
	.datab(next_cycle_01),
15567
	.datac(a_7),
15568
	.datad(q_5),
15569
	.aclr(gnd),
15570
	.aload(gnd),
15571
	.clk(clk),
15572
	.cin(gnd),
15573
	.cascin(vcc),
15574
	.devclrn(devclrn),
15575
	.devpor(devpor),
15576
	.combout(),
15577
	.regout(int_addr[6]),
15578
	.cout(),
15579
	.cascout());
15580
// synopsys translate_off
15581
defparam \int_addr[6]~I .clock_enable_mode = "true";
15582
defparam \int_addr[6]~I .lut_mask = "f3c0";
15583
defparam \int_addr[6]~I .operation_mode = "normal";
15584
defparam \int_addr[6]~I .output_mode = "reg_only";
15585
defparam \int_addr[6]~I .packed_mode = "false";
15586
// synopsys translate_on
15587
 
15588
// atom is at LC5_I13
15589
flex10ke_lcell \int_addr[17]~I (
15590
// Equation(s):
15591
// int_addr[17] = DFFEA(Mux3 & next_cycle_01, GLOBAL(\fclk~dataout ), , , \int_addr[1]~144 , , )
15592
 
15593
	.dataa(\int_addr[1]~144 ),
15594
	.datab(vcc),
15595
	.datac(Mux3),
15596
	.datad(next_cycle_01),
15597
	.aclr(gnd),
15598
	.aload(gnd),
15599
	.clk(clk),
15600
	.cin(gnd),
15601
	.cascin(vcc),
15602
	.devclrn(devclrn),
15603
	.devpor(devpor),
15604
	.combout(),
15605
	.regout(int_addr[17]),
15606
	.cout(),
15607
	.cascout());
15608
// synopsys translate_off
15609
defparam \int_addr[17]~I .clock_enable_mode = "true";
15610
defparam \int_addr[17]~I .lut_mask = "f000";
15611
defparam \int_addr[17]~I .operation_mode = "normal";
15612
defparam \int_addr[17]~I .output_mode = "reg_only";
15613
defparam \int_addr[17]~I .packed_mode = "false";
15614
// synopsys translate_on
15615
 
15616
// atom is at LC1_I26
15617
flex10ke_lcell \int_addr[7]~I (
15618
// Equation(s):
15619
// int_addr[7] = DFFEA(next_cycle_01 & (\a~dataout [8]) # !next_cycle_01 & !dram_addr_7, GLOBAL(\fclk~dataout ), , , \int_addr[1]~144 , , )
15620
 
15621
	.dataa(\int_addr[1]~144 ),
15622
	.datab(dram_addr_7),
15623
	.datac(a_8),
15624
	.datad(next_cycle_01),
15625
	.aclr(gnd),
15626
	.aload(gnd),
15627
	.clk(clk),
15628
	.cin(gnd),
15629
	.cascin(vcc),
15630
	.devclrn(devclrn),
15631
	.devpor(devpor),
15632
	.combout(),
15633
	.regout(int_addr[7]),
15634
	.cout(),
15635
	.cascout());
15636
// synopsys translate_off
15637
defparam \int_addr[7]~I .clock_enable_mode = "true";
15638
defparam \int_addr[7]~I .lut_mask = "f033";
15639
defparam \int_addr[7]~I .operation_mode = "normal";
15640
defparam \int_addr[7]~I .output_mode = "reg_only";
15641
defparam \int_addr[7]~I .packed_mode = "false";
15642
// synopsys translate_on
15643
 
15644
// atom is at LC6_I15
15645
flex10ke_lcell \int_addr[18]~I (
15646
// Equation(s):
15647
// int_addr[18] = DFFEA(next_cycle_01 & Mux2, GLOBAL(\fclk~dataout ), , , \int_addr[1]~144 , , )
15648
 
15649
	.dataa(\int_addr[1]~144 ),
15650
	.datab(vcc),
15651
	.datac(next_cycle_01),
15652
	.datad(Mux2),
15653
	.aclr(gnd),
15654
	.aload(gnd),
15655
	.clk(clk),
15656
	.cin(gnd),
15657
	.cascin(vcc),
15658
	.devclrn(devclrn),
15659
	.devpor(devpor),
15660
	.combout(),
15661
	.regout(int_addr[18]),
15662
	.cout(),
15663
	.cascout());
15664
// synopsys translate_off
15665
defparam \int_addr[18]~I .clock_enable_mode = "true";
15666
defparam \int_addr[18]~I .lut_mask = "f000";
15667
defparam \int_addr[18]~I .operation_mode = "normal";
15668
defparam \int_addr[18]~I .output_mode = "reg_only";
15669
defparam \int_addr[18]~I .packed_mode = "false";
15670
// synopsys translate_on
15671
 
15672
// atom is at LC5_I15
15673
flex10ke_lcell \int_addr[8]~I (
15674
// Equation(s):
15675
// int_addr[8] = DFFEA(next_cycle_01 & (\a~dataout [9]) # !next_cycle_01 & !dram_addr_8, GLOBAL(\fclk~dataout ), , , \int_addr[1]~144 , , )
15676
 
15677
	.dataa(\int_addr[1]~144 ),
15678
	.datab(dram_addr_8),
15679
	.datac(a_9),
15680
	.datad(next_cycle_01),
15681
	.aclr(gnd),
15682
	.aload(gnd),
15683
	.clk(clk),
15684
	.cin(gnd),
15685
	.cascin(vcc),
15686
	.devclrn(devclrn),
15687
	.devpor(devpor),
15688
	.combout(),
15689
	.regout(int_addr[8]),
15690
	.cout(),
15691
	.cascout());
15692
// synopsys translate_off
15693
defparam \int_addr[8]~I .clock_enable_mode = "true";
15694
defparam \int_addr[8]~I .lut_mask = "f033";
15695
defparam \int_addr[8]~I .operation_mode = "normal";
15696
defparam \int_addr[8]~I .output_mode = "reg_only";
15697
defparam \int_addr[8]~I .packed_mode = "false";
15698
// synopsys translate_on
15699
 
15700
// atom is at LC3_I17
15701
flex10ke_lcell \int_addr[19]~I (
15702
// Equation(s):
15703
// int_addr[19] = DFFEA(next_cycle_01 & Mux1, GLOBAL(\fclk~dataout ), , , \int_addr[1]~144 , , )
15704
 
15705
	.dataa(\int_addr[1]~144 ),
15706
	.datab(vcc),
15707
	.datac(next_cycle_01),
15708
	.datad(Mux1),
15709
	.aclr(gnd),
15710
	.aload(gnd),
15711
	.clk(clk),
15712
	.cin(gnd),
15713
	.cascin(vcc),
15714
	.devclrn(devclrn),
15715
	.devpor(devpor),
15716
	.combout(),
15717
	.regout(int_addr[19]),
15718
	.cout(),
15719
	.cascout());
15720
// synopsys translate_off
15721
defparam \int_addr[19]~I .clock_enable_mode = "true";
15722
defparam \int_addr[19]~I .lut_mask = "f000";
15723
defparam \int_addr[19]~I .operation_mode = "normal";
15724
defparam \int_addr[19]~I .output_mode = "reg_only";
15725
defparam \int_addr[19]~I .packed_mode = "false";
15726
// synopsys translate_on
15727
 
15728
// atom is at LC1_I17
15729
flex10ke_lcell \int_addr[9]~I (
15730
// Equation(s):
15731
// int_addr[9] = DFFEA(next_cycle_01 & \a~dataout [10] # !next_cycle_01 & (dram_addr_9), GLOBAL(\fclk~dataout ), , , \int_addr[1]~144 , , )
15732
 
15733
	.dataa(\int_addr[1]~144 ),
15734
	.datab(next_cycle_01),
15735
	.datac(a_10),
15736
	.datad(dram_addr_9),
15737
	.aclr(gnd),
15738
	.aload(gnd),
15739
	.clk(clk),
15740
	.cin(gnd),
15741
	.cascin(vcc),
15742
	.devclrn(devclrn),
15743
	.devpor(devpor),
15744
	.combout(),
15745
	.regout(int_addr[9]),
15746
	.cout(),
15747
	.cascout());
15748
// synopsys translate_off
15749
defparam \int_addr[9]~I .clock_enable_mode = "true";
15750
defparam \int_addr[9]~I .lut_mask = "f3c0";
15751
defparam \int_addr[9]~I .operation_mode = "normal";
15752
defparam \int_addr[9]~I .output_mode = "reg_only";
15753
defparam \int_addr[9]~I .packed_mode = "false";
15754
// synopsys translate_on
15755
 
15756
// atom is at LC6_I17
15757
flex10ke_lcell \int_addr[20]~I (
15758
// Equation(s):
15759
// int_addr[20] = DFFEA(next_cycle_01 & Mux0, GLOBAL(\fclk~dataout ), , , \int_addr[1]~144 , , )
15760
 
15761
	.dataa(\int_addr[1]~144 ),
15762
	.datab(vcc),
15763
	.datac(next_cycle_01),
15764
	.datad(Mux0),
15765
	.aclr(gnd),
15766
	.aload(gnd),
15767
	.clk(clk),
15768
	.cin(gnd),
15769
	.cascin(vcc),
15770
	.devclrn(devclrn),
15771
	.devpor(devpor),
15772
	.combout(),
15773
	.regout(int_addr[20]),
15774
	.cout(),
15775
	.cascout());
15776
// synopsys translate_off
15777
defparam \int_addr[20]~I .clock_enable_mode = "true";
15778
defparam \int_addr[20]~I .lut_mask = "f000";
15779
defparam \int_addr[20]~I .operation_mode = "normal";
15780
defparam \int_addr[20]~I .output_mode = "reg_only";
15781
defparam \int_addr[20]~I .packed_mode = "false";
15782
// synopsys translate_on
15783
 
15784
// atom is at LC5_I17
15785
flex10ke_lcell \int_addr[10]~I (
15786
// Equation(s):
15787
// int_addr[10] = DFFEA(next_cycle_01 & \a~dataout [11] # !next_cycle_01 & (dram_addr_10), GLOBAL(\fclk~dataout ), , , \int_addr[1]~144 , , )
15788
 
15789
	.dataa(\int_addr[1]~144 ),
15790
	.datab(next_cycle_01),
15791
	.datac(a_11),
15792
	.datad(dram_addr_10),
15793
	.aclr(gnd),
15794
	.aload(gnd),
15795
	.clk(clk),
15796
	.cin(gnd),
15797
	.cascin(vcc),
15798
	.devclrn(devclrn),
15799
	.devpor(devpor),
15800
	.combout(),
15801
	.regout(int_addr[10]),
15802
	.cout(),
15803
	.cascout());
15804
// synopsys translate_off
15805
defparam \int_addr[10]~I .clock_enable_mode = "true";
15806
defparam \int_addr[10]~I .lut_mask = "f3c0";
15807
defparam \int_addr[10]~I .operation_mode = "normal";
15808
defparam \int_addr[10]~I .output_mode = "reg_only";
15809
defparam \int_addr[10]~I .packed_mode = "false";
15810
// synopsys translate_on
15811
 
15812
// atom is at LC1_F20
15813
flex10ke_lcell \Selector0~28_I (
15814
// Equation(s):
15815
// \Selector0~28  = !\WideOr0~18  & !rst_sync[1] & !next_cycle_1 & Selector1
15816
 
15817
	.dataa(\WideOr0~18 ),
15818
	.datab(rst_sync[1]),
15819
	.datac(next_cycle_1),
15820
	.datad(Selector1),
15821
	.aclr(gnd),
15822
	.aload(gnd),
15823
	.clk(gnd),
15824
	.cin(gnd),
15825
	.cascin(vcc),
15826
	.devclrn(devclrn),
15827
	.devpor(devpor),
15828
	.combout(\Selector0~28 ),
15829
	.regout(),
15830
	.cout(),
15831
	.cascout());
15832
// synopsys translate_off
15833
defparam \Selector0~28_I .clock_enable_mode = "false";
15834
defparam \Selector0~28_I .lut_mask = "0100";
15835
defparam \Selector0~28_I .operation_mode = "normal";
15836
defparam \Selector0~28_I .output_mode = "comb_only";
15837
defparam \Selector0~28_I .packed_mode = "false";
15838
// synopsys translate_on
15839
 
15840
// atom is at LC6_F19
15841
flex10ke_lcell \int_bsel[1]~I (
15842
// Equation(s):
15843
// int_bsel[1] = DFFEA(!\a~dataout [0], GLOBAL(\fclk~dataout ), , , \int_addr[1]~144 , , )
15844
 
15845
	.dataa(\int_addr[1]~144 ),
15846
	.datab(vcc),
15847
	.datac(vcc),
15848
	.datad(a_0),
15849
	.aclr(gnd),
15850
	.aload(gnd),
15851
	.clk(clk),
15852
	.cin(gnd),
15853
	.cascin(vcc),
15854
	.devclrn(devclrn),
15855
	.devpor(devpor),
15856
	.combout(),
15857
	.regout(int_bsel[1]),
15858
	.cout(),
15859
	.cascout());
15860
// synopsys translate_off
15861
defparam \int_bsel[1]~I .clock_enable_mode = "true";
15862
defparam \int_bsel[1]~I .lut_mask = "00ff";
15863
defparam \int_bsel[1]~I .operation_mode = "normal";
15864
defparam \int_bsel[1]~I .output_mode = "reg_only";
15865
defparam \int_bsel[1]~I .packed_mode = "false";
15866
// synopsys translate_on
15867
 
15868
// atom is at LC2_F22
15869
flex10ke_lcell \WideOr3~I (
15870
// Equation(s):
15871
// WideOr3 = \state.RFSH2  # \state.WR3  # \state.RD3  # !\WideOr3~18 
15872
 
15873
	.dataa(\WideOr3~18 ),
15874
	.datab(\state.RFSH2 ),
15875
	.datac(\state.WR3 ),
15876
	.datad(\state.RD3 ),
15877
	.aclr(gnd),
15878
	.aload(gnd),
15879
	.clk(gnd),
15880
	.cin(gnd),
15881
	.cascin(vcc),
15882
	.devclrn(devclrn),
15883
	.devpor(devpor),
15884
	.combout(WideOr3),
15885
	.regout(),
15886
	.cout(),
15887
	.cascout());
15888
// synopsys translate_off
15889
defparam \WideOr3~I .clock_enable_mode = "false";
15890
defparam \WideOr3~I .lut_mask = "fffd";
15891
defparam \WideOr3~I .operation_mode = "normal";
15892
defparam \WideOr3~I .output_mode = "comb_only";
15893
defparam \WideOr3~I .packed_mode = "false";
15894
// synopsys translate_on
15895
 
15896
// atom is at LC4_F21
15897
flex10ke_lcell \Selector5~69_I (
15898
// Equation(s):
15899
// \Selector5~69  = \state.RFSH3  # WideOr3 & \dram|rucas_n  # !\WideOr0~18 
15900
 
15901
	.dataa(\WideOr0~18 ),
15902
	.datab(WideOr3),
15903
	.datac(rucas_n1),
15904
	.datad(\state.RFSH3 ),
15905
	.aclr(gnd),
15906
	.aload(gnd),
15907
	.clk(gnd),
15908
	.cin(gnd),
15909
	.cascin(vcc),
15910
	.devclrn(devclrn),
15911
	.devpor(devpor),
15912
	.combout(\Selector5~69 ),
15913
	.regout(),
15914
	.cout(),
15915
	.cascout());
15916
// synopsys translate_off
15917
defparam \Selector5~69_I .clock_enable_mode = "false";
15918
defparam \Selector5~69_I .lut_mask = "ffd5";
15919
defparam \Selector5~69_I .operation_mode = "normal";
15920
defparam \Selector5~69_I .output_mode = "comb_only";
15921
defparam \Selector5~69_I .packed_mode = "false";
15922
// synopsys translate_on
15923
 
15924
// atom is at LC1_F21
15925
flex10ke_lcell \Selector6~67_I (
15926
// Equation(s):
15927
// \Selector6~67  = \state.RFSH3  # WideOr3 & \dram|rlcas_n  # !\WideOr0~18 
15928
 
15929
	.dataa(\WideOr0~18 ),
15930
	.datab(WideOr3),
15931
	.datac(rlcas_n1),
15932
	.datad(\state.RFSH3 ),
15933
	.aclr(gnd),
15934
	.aload(gnd),
15935
	.clk(gnd),
15936
	.cin(gnd),
15937
	.cascin(vcc),
15938
	.devclrn(devclrn),
15939
	.devpor(devpor),
15940
	.combout(\Selector6~67 ),
15941
	.regout(),
15942
	.cout(),
15943
	.cascout());
15944
// synopsys translate_off
15945
defparam \Selector6~67_I .clock_enable_mode = "false";
15946
defparam \Selector6~67_I .lut_mask = "ffd5";
15947
defparam \Selector6~67_I .operation_mode = "normal";
15948
defparam \Selector6~67_I .output_mode = "comb_only";
15949
defparam \Selector6~67_I .packed_mode = "false";
15950
// synopsys translate_on
15951
 
15952
// atom is at LC2_F9
15953
flex10ke_lcell \state.RFSH1~33_I (
15954
// Equation(s):
15955
// \state.RFSH1~33  = !\state.RFSH1  & !\state.RD2  & !\state.RFSH3  & !\state.WR2 
15956
 
15957
	.dataa(\state.RFSH1 ),
15958
	.datab(\state.RD2 ),
15959
	.datac(\state.RFSH3 ),
15960
	.datad(\state.WR2 ),
15961
	.aclr(gnd),
15962
	.aload(gnd),
15963
	.clk(gnd),
15964
	.cin(gnd),
15965
	.cascin(vcc),
15966
	.devclrn(devclrn),
15967
	.devpor(devpor),
15968
	.combout(\state.RFSH1~33 ),
15969
	.regout(),
15970
	.cout(),
15971
	.cascout());
15972
// synopsys translate_off
15973
defparam \state.RFSH1~33_I .clock_enable_mode = "false";
15974
defparam \state.RFSH1~33_I .lut_mask = "0001";
15975
defparam \state.RFSH1~33_I .operation_mode = "normal";
15976
defparam \state.RFSH1~33_I .output_mode = "comb_only";
15977
defparam \state.RFSH1~33_I .packed_mode = "false";
15978
// synopsys translate_on
15979
 
15980
// atom is at LC1_I23
15981
flex10ke_lcell \int_addr[0]~I (
15982
// Equation(s):
15983
// int_addr[0] = DFFEA(next_cycle_01 & \a~dataout [1] # !next_cycle_01 & (q_0), GLOBAL(\fclk~dataout ), , , \int_addr[1]~144 , , )
15984
 
15985
	.dataa(\int_addr[1]~144 ),
15986
	.datab(next_cycle_01),
15987
	.datac(a_1),
15988
	.datad(q_0),
15989
	.aclr(gnd),
15990
	.aload(gnd),
15991
	.clk(clk),
15992
	.cin(gnd),
15993
	.cascin(vcc),
15994
	.devclrn(devclrn),
15995
	.devpor(devpor),
15996
	.combout(),
15997
	.regout(int_addr[0]),
15998
	.cout(),
15999
	.cascout());
16000
// synopsys translate_off
16001
defparam \int_addr[0]~I .clock_enable_mode = "true";
16002
defparam \int_addr[0]~I .lut_mask = "f3c0";
16003
defparam \int_addr[0]~I .operation_mode = "normal";
16004
defparam \int_addr[0]~I .output_mode = "reg_only";
16005
defparam \int_addr[0]~I .packed_mode = "false";
16006
// synopsys translate_on
16007
 
16008
// atom is at LC3_F22
16009
flex10ke_lcell \WideOr3~18_I (
16010
// Equation(s):
16011
// \WideOr3~18  = !\state.RD1  & !\state.WR1 
16012
 
16013
	.dataa(vcc),
16014
	.datab(vcc),
16015
	.datac(\state.RD1 ),
16016
	.datad(\state.WR1 ),
16017
	.aclr(gnd),
16018
	.aload(gnd),
16019
	.clk(gnd),
16020
	.cin(gnd),
16021
	.cascin(vcc),
16022
	.devclrn(devclrn),
16023
	.devpor(devpor),
16024
	.combout(\WideOr3~18 ),
16025
	.regout(),
16026
	.cout(),
16027
	.cascout());
16028
// synopsys translate_off
16029
defparam \WideOr3~18_I .clock_enable_mode = "false";
16030
defparam \WideOr3~18_I .lut_mask = "000f";
16031
defparam \WideOr3~18_I .operation_mode = "normal";
16032
defparam \WideOr3~18_I .output_mode = "comb_only";
16033
defparam \WideOr3~18_I .packed_mode = "false";
16034
// synopsys translate_on
16035
 
16036
// atom is at LC4_F22
16037
flex10ke_lcell \rfsh_alt~I (
16038
// Equation(s):
16039
// rfsh_alt = DFFEA(!rfsh_alt, GLOBAL(\fclk~dataout ), , , \state.RFSH2 , , )
16040
 
16041
	.dataa(\state.RFSH2 ),
16042
	.datab(vcc),
16043
	.datac(vcc),
16044
	.datad(rfsh_alt),
16045
	.aclr(gnd),
16046
	.aload(gnd),
16047
	.clk(clk),
16048
	.cin(gnd),
16049
	.cascin(vcc),
16050
	.devclrn(devclrn),
16051
	.devpor(devpor),
16052
	.combout(),
16053
	.regout(rfsh_alt),
16054
	.cout(),
16055
	.cascout());
16056
// synopsys translate_off
16057
defparam \rfsh_alt~I .clock_enable_mode = "true";
16058
defparam \rfsh_alt~I .lut_mask = "00ff";
16059
defparam \rfsh_alt~I .operation_mode = "normal";
16060
defparam \rfsh_alt~I .output_mode = "reg_only";
16061
defparam \rfsh_alt~I .packed_mode = "false";
16062
// synopsys translate_on
16063
 
16064
// atom is at LC7_F22
16065
flex10ke_lcell \Selector3~80_I (
16066
// Equation(s):
16067
// \Selector3~80  = \state.RFSH2  & rfsh_alt & (int_addr[0] # \WideOr3~18 ) # !\state.RFSH2  & (int_addr[0] # \WideOr3~18 )
16068
 
16069
	.dataa(\state.RFSH2 ),
16070
	.datab(int_addr[0]),
16071
	.datac(\WideOr3~18 ),
16072
	.datad(rfsh_alt),
16073
	.aclr(gnd),
16074
	.aload(gnd),
16075
	.clk(gnd),
16076
	.cin(gnd),
16077
	.cascin(vcc),
16078
	.devclrn(devclrn),
16079
	.devpor(devpor),
16080
	.combout(\Selector3~80 ),
16081
	.regout(),
16082
	.cout(),
16083
	.cascout());
16084
// synopsys translate_off
16085
defparam \Selector3~80_I .clock_enable_mode = "false";
16086
defparam \Selector3~80_I .lut_mask = "fc54";
16087
defparam \Selector3~80_I .operation_mode = "normal";
16088
defparam \Selector3~80_I .output_mode = "comb_only";
16089
defparam \Selector3~80_I .packed_mode = "false";
16090
// synopsys translate_on
16091
 
16092
// atom is at LC5_F22
16093
flex10ke_lcell \Selector4~49_I (
16094
// Equation(s):
16095
// \Selector4~49  = rfsh_alt & !\state.RFSH2  & (\WideOr3~18  # !int_addr[0]) # !rfsh_alt & (\WideOr3~18  # !int_addr[0])
16096
 
16097
	.dataa(rfsh_alt),
16098
	.datab(\state.RFSH2 ),
16099
	.datac(int_addr[0]),
16100
	.datad(\WideOr3~18 ),
16101
	.aclr(gnd),
16102
	.aload(gnd),
16103
	.clk(gnd),
16104
	.cin(gnd),
16105
	.cascin(vcc),
16106
	.devclrn(devclrn),
16107
	.devpor(devpor),
16108
	.combout(\Selector4~49 ),
16109
	.regout(),
16110
	.cout(),
16111
	.cascout());
16112
// synopsys translate_off
16113
defparam \Selector4~49_I .clock_enable_mode = "false";
16114
defparam \Selector4~49_I .lut_mask = "7707";
16115
defparam \Selector4~49_I .operation_mode = "normal";
16116
defparam \Selector4~49_I .output_mode = "comb_only";
16117
defparam \Selector4~49_I .packed_mode = "false";
16118
// synopsys translate_on
16119
 
16120
endmodule
16121
 
16122
module fetch (
16123
	go,
16124
	vpix,
16125
	Mux10,
16126
	zxcolor_3,
16127
	peff7_int_0,
16128
	Mux15,
16129
	zxcolor,
16130
	zxcolor_1,
16131
	Mux14,
16132
	zxcolor1,
16133
	zxcolor_2,
16134
	Mux16,
16135
	zxcolor2,
16136
	zxcolor_0,
16137
	q_1,
16138
	q_2,
16139
	q_3,
16140
	q_31,
16141
	q_4,
16142
	q_5,
16143
	q_0,
16144
	int_start,
16145
	dcnt_0,
16146
	video_next,
16147
	dcnt_1,
16148
	line_start,
16149
	q_7,
16150
	q_21,
16151
	q_6,
16152
	cend,
16153
	rddata_15,
16154
	video_strobe,
16155
	rddata_6,
16156
	rddata_14,
16157
	rddata_7,
16158
	rddata_1,
16159
	rddata_12,
16160
	rddata_9,
16161
	rddata_4,
16162
	rddata_5,
16163
	rddata_13,
16164
	rddata_2,
16165
	rddata_10,
16166
	rddata_3,
16167
	rddata_11,
16168
	rddata_0,
16169
	rddata_8,
16170
	q_11,
16171
	q_01,
16172
	clk,
16173
	line_start1,
16174
	int_start1,
16175
	devpor,
16176
	devclrn,
16177
	devoe);
16178
output 	go;
16179
input 	vpix;
16180
output 	Mux10;
16181
output 	zxcolor_3;
16182
input 	peff7_int_0;
16183
output 	Mux15;
16184
output 	zxcolor;
16185
output 	zxcolor_1;
16186
output 	Mux14;
16187
output 	zxcolor1;
16188
output 	zxcolor_2;
16189
output 	Mux16;
16190
output 	zxcolor2;
16191
output 	zxcolor_0;
16192
output 	q_1;
16193
output 	q_2;
16194
output 	q_3;
16195
output 	q_31;
16196
output 	q_4;
16197
output 	q_5;
16198
output 	q_0;
16199
input 	int_start;
16200
output 	dcnt_0;
16201
input 	video_next;
16202
output 	dcnt_1;
16203
input 	line_start;
16204
output 	q_7;
16205
output 	q_21;
16206
output 	q_6;
16207
input 	cend;
16208
input 	rddata_15;
16209
input 	video_strobe;
16210
input 	rddata_6;
16211
input 	rddata_14;
16212
input 	rddata_7;
16213
input 	rddata_1;
16214
input 	rddata_12;
16215
input 	rddata_9;
16216
input 	rddata_4;
16217
input 	rddata_5;
16218
input 	rddata_13;
16219
input 	rddata_2;
16220
input 	rddata_10;
16221
input 	rddata_3;
16222
input 	rddata_11;
16223
input 	rddata_0;
16224
input 	rddata_8;
16225
output 	q_11;
16226
output 	q_01;
16227
input 	clk;
16228
input 	line_start1;
16229
input 	int_start1;
16230
input 	devpor;
16231
input 	devclrn;
16232
input 	devoe;
16233
 
16234
wire gnd = 1'b0;
16235
wire vcc = 1'b1;
16236
 
16237
wire \shift[0][7] ;
16238
wire \shift[7][6] ;
16239
wire \shift[6][6] ;
16240
wire \shift[1][7] ;
16241
wire \shift[0][4] ;
16242
wire \shift[6][4] ;
16243
wire \shift[6][1] ;
16244
wire \shift[1][4] ;
16245
wire \pixbyte~34 ;
16246
wire \pixbyte~35 ;
16247
wire \pixbyte~38 ;
16248
wire \shift[1][0] ;
16249
wire \shift[0][0] ;
16250
wire \pixbyte~39 ;
16251
wire \zxcolor~197 ;
16252
wire \shift[4][2] ;
16253
wire \shift[6][5] ;
16254
wire \shift[5][2] ;
16255
wire \shift[6][3] ;
16256
wire \shift[4][3] ;
16257
wire \fbuf[0][15] ;
16258
wire \fbuf[3][6] ;
16259
wire \fbuf[3][14] ;
16260
wire \fbuf[0][7] ;
16261
wire \fbuf[0][12] ;
16262
wire \fbuf[3][12] ;
16263
wire \fbuf[3][9] ;
16264
wire \fbuf[0][4] ;
16265
wire \fbuf[0][0] ;
16266
wire \fbuf[0][8] ;
16267
wire \flashctr_rtl_5|wysi_counter|counter_cell[3]~COUT ;
16268
wire \fbuf[2][10] ;
16269
wire \fbuf[3][13] ;
16270
wire \fbuf[2][2] ;
16271
wire \fbuf[3][11] ;
16272
wire \fbuf[2][11] ;
16273
wire \always4~0 ;
16274
wire \fbuf[2][14]~413 ;
16275
wire \fbuf[1][14]~414 ;
16276
wire \fbuf[0][14]~415 ;
16277
wire \fbuf[3][14]~416 ;
16278
wire \flashctr_rtl_5|wysi_counter|counter_cell[2]~COUT ;
16279
wire \ddcnt[1]~18 ;
16280
wire \flashctr_rtl_5|wysi_counter|counter_cell[1]~COUT ;
16281
wire \flashctr_rtl_5|wysi_counter|counter_cell[0]~COUT ;
16282
wire \hcnt_rtl_11|wysi_counter|counter_cell[1]~COUT ;
16283
wire \hcnt_rtl_11|wysi_counter|counter_cell[2]~COUT ;
16284
wire \vcnt_rtl_10|wysi_counter|counter_cell[3]~COUT ;
16285
wire \vcnt_rtl_10|wysi_counter|counter_cell[4]~COUT ;
16286
wire \vcnt_rtl_10|wysi_counter|counter_cell[5]~COUT ;
16287
wire \hcnt_rtl_11|wysi_counter|counter_cell[0]~COUT ;
16288
wire \vcnt_rtl_10|wysi_counter|counter_cell[2]~COUT ;
16289
wire \vcnt_rtl_10|wysi_counter|counter_cell[6]~COUT ;
16290
wire \vcnt_rtl_10|wysi_counter|counter_cell[1]~COUT ;
16291
wire \vcnt_rtl_10|wysi_counter|counter_cell[0]~COUT ;
16292
wire \always1~0 ;
16293
wire \Equal0~42 ;
16294
wire \Equal0~41 ;
16295
wire \wcnt_rtl_9|wysi_counter|counter_cell[3]~1 ;
16296
wire \wcnt_rtl_9|wysi_counter|counter_cell[0]~COUT ;
16297
wire \wcnt_rtl_9|wysi_counter|counter_cell[1]~COUT ;
16298
wire \wcnt_rtl_9|wysi_counter|counter_cell[2]~COUT ;
16299
wire go_start;
16300
wire \fcnt_rtl_8|wysi_counter|counter_cell[0]~COUT ;
16301
wire \fcnt_rtl_8|wysi_counter|counter_cell[1]~COUT ;
16302
wire \fcnt_rtl_8|wysi_counter|counter_cell[2]~COUT ;
16303
wire \fcnt_rtl_8|wysi_counter|counter_cell[3]~COUT ;
16304
wire \go~68 ;
16305
wire \go~69 ;
16306
wire \fbuf[3][7] ;
16307
wire \shift[7][7] ;
16308
wire \wordsync~31 ;
16309
wire wordsync;
16310
wire \pixnumber_rtl_4|wysi_counter|counter_cell[3]~1 ;
16311
wire \pixnumber_rtl_4|wysi_counter|counter_cell[0]~COUT ;
16312
wire \pixnumber_rtl_4|wysi_counter|counter_cell[1]~COUT ;
16313
wire \fbuf[2][7] ;
16314
wire \shift[5][7] ;
16315
wire \Mux10~28 ;
16316
wire \fbuf[1][7] ;
16317
wire \shift[3][7] ;
16318
wire \Mux10~29 ;
16319
wire \fbuf[1][14] ;
16320
wire \shift[2][6] ;
16321
wire \Mux10~25 ;
16322
wire \fbuf[2][14] ;
16323
wire \shift[4][6] ;
16324
wire \Mux10~26 ;
16325
wire \pixnumber_rtl_4|wysi_counter|counter_cell[2]~COUT ;
16326
wire \fbuf[1][6] ;
16327
wire \shift[3][6] ;
16328
wire \Mux10~23 ;
16329
wire \fbuf[2][6] ;
16330
wire \shift[5][6] ;
16331
wire \Mux10~24 ;
16332
wire \Mux10~27 ;
16333
wire \fbuf[3][15] ;
16334
wire \shift[6][7] ;
16335
wire \fbuf[1][15] ;
16336
wire \shift[2][7] ;
16337
wire \Mux10~21 ;
16338
wire \fbuf[2][15] ;
16339
wire \shift[4][7] ;
16340
wire \Mux10~22 ;
16341
wire \fbuf[3][4] ;
16342
wire \shift[7][4] ;
16343
wire \fbuf[2][4] ;
16344
wire \shift[5][4] ;
16345
wire \Mux15~28 ;
16346
wire \fbuf[1][4] ;
16347
wire \shift[3][4] ;
16348
wire \Mux15~29 ;
16349
wire \fbuf[1][9] ;
16350
wire \shift[2][1] ;
16351
wire \Mux15~25 ;
16352
wire \fbuf[2][9] ;
16353
wire \shift[4][1] ;
16354
wire \Mux15~26 ;
16355
wire \fbuf[1][12] ;
16356
wire \shift[2][4] ;
16357
wire \Mux15~23 ;
16358
wire \fbuf[2][12] ;
16359
wire \shift[4][4] ;
16360
wire \Mux15~24 ;
16361
wire \Mux15~27 ;
16362
wire \fbuf[3][1] ;
16363
wire \shift[7][1] ;
16364
wire \fbuf[1][1] ;
16365
wire \shift[3][1] ;
16366
wire \Mux15~21 ;
16367
wire \fbuf[2][1] ;
16368
wire \shift[5][1] ;
16369
wire \Mux15~22 ;
16370
wire \fbuf[0][9] ;
16371
wire \shift[0][1] ;
16372
wire \fbuf[0][1] ;
16373
wire \shift[1][1] ;
16374
wire \pixbyte~37 ;
16375
wire \Mux8~13 ;
16376
wire \fbuf[0][10] ;
16377
wire \shift[0][2] ;
16378
wire \fbuf[0][2] ;
16379
wire \shift[1][2] ;
16380
wire \pixbyte~36 ;
16381
wire \Mux8~14 ;
16382
wire \fbuf[0][13] ;
16383
wire \shift[0][5] ;
16384
wire \fbuf[0][5] ;
16385
wire \shift[1][5] ;
16386
wire \pixbyte~33 ;
16387
wire \Mux8~11 ;
16388
wire \fbuf[0][14] ;
16389
wire \shift[0][6] ;
16390
wire \fbuf[0][6] ;
16391
wire \shift[1][6] ;
16392
wire \pixbyte~32 ;
16393
wire \Mux8~12 ;
16394
wire \zxcolor~1 ;
16395
wire \zxcolor~198 ;
16396
wire \fbuf[3][5] ;
16397
wire \shift[7][5] ;
16398
wire \fbuf[3][2] ;
16399
wire \shift[7][2] ;
16400
wire \Mux14~28 ;
16401
wire \fbuf[2][5] ;
16402
wire \shift[5][5] ;
16403
wire \Mux14~29 ;
16404
wire \Mux14~25 ;
16405
wire \fbuf[1][10] ;
16406
wire \shift[2][2] ;
16407
wire \Mux14~26 ;
16408
wire \fbuf[2][13] ;
16409
wire \shift[4][5] ;
16410
wire \Mux14~23 ;
16411
wire \fbuf[3][10] ;
16412
wire \shift[6][2] ;
16413
wire \Mux14~24 ;
16414
wire \Mux14~27 ;
16415
wire \fbuf[1][5] ;
16416
wire \shift[3][5] ;
16417
wire \Mux14~21 ;
16418
wire \fbuf[1][2] ;
16419
wire \shift[3][2] ;
16420
wire \Mux14~22 ;
16421
wire \fbuf[1][13] ;
16422
wire \shift[2][5] ;
16423
wire \zxcolor~201 ;
16424
wire \fbuf[3][3] ;
16425
wire \shift[7][3] ;
16426
wire \fbuf[1][3] ;
16427
wire \shift[3][3] ;
16428
wire \Mux16~28 ;
16429
wire \fbuf[3][0] ;
16430
wire \shift[7][0] ;
16431
wire \Mux16~29 ;
16432
wire \fbuf[2][8] ;
16433
wire \shift[4][0] ;
16434
wire \Mux16~25 ;
16435
wire \fbuf[0][11] ;
16436
wire \shift[0][3] ;
16437
wire \Mux16~26 ;
16438
wire \fbuf[3][8] ;
16439
wire \shift[6][0] ;
16440
wire \Mux16~23 ;
16441
wire \fbuf[1][11] ;
16442
wire \shift[2][3] ;
16443
wire \Mux16~24 ;
16444
wire \Mux16~27 ;
16445
wire \fbuf[2][3] ;
16446
wire \shift[5][3] ;
16447
wire \fbuf[2][0] ;
16448
wire \shift[5][0] ;
16449
wire \Mux16~21 ;
16450
wire \fbuf[0][3] ;
16451
wire \shift[1][3] ;
16452
wire \Mux16~22 ;
16453
wire \fbuf[1][0] ;
16454
wire \shift[3][0] ;
16455
wire \zxcolor~204 ;
16456
wire \fbuf[1][8] ;
16457
wire \shift[2][0] ;
16458
wire \hcnt~59 ;
16459
wire [1:0] ddcnt;
16460
wire [5:0] scnt;
16461
wire [5:0] \Add2|adder|result_node|cout ;
16462
wire [5:0] \Add2|adder|result_node|cs_buffer ;
16463
wire [5:0] \Add2|adder|unreg_res_node ;
16464
wire [4:0] \flashctr_rtl_5|wysi_counter|q ;
16465
wire [3:0] \pixnumber_rtl_4|wysi_counter|q ;
16466
wire [3:0] \wcnt_rtl_9|wysi_counter|q ;
16467
wire [4:0] \fcnt_rtl_8|wysi_counter|q ;
16468
 
16469
 
16470
// atom is at LC8_G19
16471
flex10ke_lcell \shift[0][7]~I (
16472
// Equation(s):
16473
// \shift[0][7]  = DFFEA(\fbuf[0][15] , GLOBAL(\fclk~dataout ), , , wordsync, , )
16474
 
16475
	.dataa(wordsync),
16476
	.datab(vcc),
16477
	.datac(vcc),
16478
	.datad(\fbuf[0][15] ),
16479
	.aclr(gnd),
16480
	.aload(gnd),
16481
	.clk(clk),
16482
	.cin(gnd),
16483
	.cascin(vcc),
16484
	.devclrn(devclrn),
16485
	.devpor(devpor),
16486
	.combout(),
16487
	.regout(\shift[0][7] ),
16488
	.cout(),
16489
	.cascout());
16490
// synopsys translate_off
16491
defparam \shift[0][7]~I .clock_enable_mode = "true";
16492
defparam \shift[0][7]~I .lut_mask = "ff00";
16493
defparam \shift[0][7]~I .operation_mode = "normal";
16494
defparam \shift[0][7]~I .output_mode = "reg_only";
16495
defparam \shift[0][7]~I .packed_mode = "false";
16496
// synopsys translate_on
16497
 
16498
// atom is at LC2_G28
16499
flex10ke_lcell \shift[7][6]~I (
16500
// Equation(s):
16501
// \shift[7][6]  = DFFEA(\fbuf[3][6] , GLOBAL(\fclk~dataout ), , , wordsync, , )
16502
 
16503
	.dataa(wordsync),
16504
	.datab(vcc),
16505
	.datac(vcc),
16506
	.datad(\fbuf[3][6] ),
16507
	.aclr(gnd),
16508
	.aload(gnd),
16509
	.clk(clk),
16510
	.cin(gnd),
16511
	.cascin(vcc),
16512
	.devclrn(devclrn),
16513
	.devpor(devpor),
16514
	.combout(),
16515
	.regout(\shift[7][6] ),
16516
	.cout(),
16517
	.cascout());
16518
// synopsys translate_off
16519
defparam \shift[7][6]~I .clock_enable_mode = "true";
16520
defparam \shift[7][6]~I .lut_mask = "ff00";
16521
defparam \shift[7][6]~I .operation_mode = "normal";
16522
defparam \shift[7][6]~I .output_mode = "reg_only";
16523
defparam \shift[7][6]~I .packed_mode = "false";
16524
// synopsys translate_on
16525
 
16526
// atom is at LC7_G10
16527
flex10ke_lcell \shift[6][6]~I (
16528
// Equation(s):
16529
// \shift[6][6]  = DFFEA(\fbuf[3][14] , GLOBAL(\fclk~dataout ), , , wordsync, , )
16530
 
16531
	.dataa(wordsync),
16532
	.datab(vcc),
16533
	.datac(vcc),
16534
	.datad(\fbuf[3][14] ),
16535
	.aclr(gnd),
16536
	.aload(gnd),
16537
	.clk(clk),
16538
	.cin(gnd),
16539
	.cascin(vcc),
16540
	.devclrn(devclrn),
16541
	.devpor(devpor),
16542
	.combout(),
16543
	.regout(\shift[6][6] ),
16544
	.cout(),
16545
	.cascout());
16546
// synopsys translate_off
16547
defparam \shift[6][6]~I .clock_enable_mode = "true";
16548
defparam \shift[6][6]~I .lut_mask = "ff00";
16549
defparam \shift[6][6]~I .operation_mode = "normal";
16550
defparam \shift[6][6]~I .output_mode = "reg_only";
16551
defparam \shift[6][6]~I .packed_mode = "false";
16552
// synopsys translate_on
16553
 
16554
// atom is at LC8_G10
16555
flex10ke_lcell \shift[1][7]~I (
16556
// Equation(s):
16557
// \shift[1][7]  = DFFEA(\fbuf[0][7] , GLOBAL(\fclk~dataout ), , , wordsync, , )
16558
 
16559
	.dataa(wordsync),
16560
	.datab(vcc),
16561
	.datac(vcc),
16562
	.datad(\fbuf[0][7] ),
16563
	.aclr(gnd),
16564
	.aload(gnd),
16565
	.clk(clk),
16566
	.cin(gnd),
16567
	.cascin(vcc),
16568
	.devclrn(devclrn),
16569
	.devpor(devpor),
16570
	.combout(),
16571
	.regout(\shift[1][7] ),
16572
	.cout(),
16573
	.cascout());
16574
// synopsys translate_off
16575
defparam \shift[1][7]~I .clock_enable_mode = "true";
16576
defparam \shift[1][7]~I .lut_mask = "ff00";
16577
defparam \shift[1][7]~I .operation_mode = "normal";
16578
defparam \shift[1][7]~I .output_mode = "reg_only";
16579
defparam \shift[1][7]~I .packed_mode = "false";
16580
// synopsys translate_on
16581
 
16582
// atom is at LC1_G20
16583
flex10ke_lcell \shift[0][4]~I (
16584
// Equation(s):
16585
// \shift[0][4]  = DFFEA(\fbuf[0][12] , GLOBAL(\fclk~dataout ), , , wordsync, , )
16586
 
16587
	.dataa(wordsync),
16588
	.datab(vcc),
16589
	.datac(vcc),
16590
	.datad(\fbuf[0][12] ),
16591
	.aclr(gnd),
16592
	.aload(gnd),
16593
	.clk(clk),
16594
	.cin(gnd),
16595
	.cascin(vcc),
16596
	.devclrn(devclrn),
16597
	.devpor(devpor),
16598
	.combout(),
16599
	.regout(\shift[0][4] ),
16600
	.cout(),
16601
	.cascout());
16602
// synopsys translate_off
16603
defparam \shift[0][4]~I .clock_enable_mode = "true";
16604
defparam \shift[0][4]~I .lut_mask = "ff00";
16605
defparam \shift[0][4]~I .operation_mode = "normal";
16606
defparam \shift[0][4]~I .output_mode = "reg_only";
16607
defparam \shift[0][4]~I .packed_mode = "false";
16608
// synopsys translate_on
16609
 
16610
// atom is at LC3_G28
16611
flex10ke_lcell \shift[6][4]~I (
16612
// Equation(s):
16613
// \shift[6][4]  = DFFEA(\fbuf[3][12] , GLOBAL(\fclk~dataout ), , , wordsync, , )
16614
 
16615
	.dataa(wordsync),
16616
	.datab(vcc),
16617
	.datac(vcc),
16618
	.datad(\fbuf[3][12] ),
16619
	.aclr(gnd),
16620
	.aload(gnd),
16621
	.clk(clk),
16622
	.cin(gnd),
16623
	.cascin(vcc),
16624
	.devclrn(devclrn),
16625
	.devpor(devpor),
16626
	.combout(),
16627
	.regout(\shift[6][4] ),
16628
	.cout(),
16629
	.cascout());
16630
// synopsys translate_off
16631
defparam \shift[6][4]~I .clock_enable_mode = "true";
16632
defparam \shift[6][4]~I .lut_mask = "ff00";
16633
defparam \shift[6][4]~I .operation_mode = "normal";
16634
defparam \shift[6][4]~I .output_mode = "reg_only";
16635
defparam \shift[6][4]~I .packed_mode = "false";
16636
// synopsys translate_on
16637
 
16638
// atom is at LC5_G8
16639
flex10ke_lcell \shift[6][1]~I (
16640
// Equation(s):
16641
// \shift[6][1]  = DFFEA(\fbuf[3][9] , GLOBAL(\fclk~dataout ), , , wordsync, , )
16642
 
16643
	.dataa(wordsync),
16644
	.datab(vcc),
16645
	.datac(vcc),
16646
	.datad(\fbuf[3][9] ),
16647
	.aclr(gnd),
16648
	.aload(gnd),
16649
	.clk(clk),
16650
	.cin(gnd),
16651
	.cascin(vcc),
16652
	.devclrn(devclrn),
16653
	.devpor(devpor),
16654
	.combout(),
16655
	.regout(\shift[6][1] ),
16656
	.cout(),
16657
	.cascout());
16658
// synopsys translate_off
16659
defparam \shift[6][1]~I .clock_enable_mode = "true";
16660
defparam \shift[6][1]~I .lut_mask = "ff00";
16661
defparam \shift[6][1]~I .operation_mode = "normal";
16662
defparam \shift[6][1]~I .output_mode = "reg_only";
16663
defparam \shift[6][1]~I .packed_mode = "false";
16664
// synopsys translate_on
16665
 
16666
// atom is at LC2_G22
16667
flex10ke_lcell \shift[1][4]~I (
16668
// Equation(s):
16669
// \shift[1][4]  = DFFEA(\fbuf[0][4] , GLOBAL(\fclk~dataout ), , , wordsync, , )
16670
 
16671
	.dataa(wordsync),
16672
	.datab(vcc),
16673
	.datac(vcc),
16674
	.datad(\fbuf[0][4] ),
16675
	.aclr(gnd),
16676
	.aload(gnd),
16677
	.clk(clk),
16678
	.cin(gnd),
16679
	.cascin(vcc),
16680
	.devclrn(devclrn),
16681
	.devpor(devpor),
16682
	.combout(),
16683
	.regout(\shift[1][4] ),
16684
	.cout(),
16685
	.cascout());
16686
// synopsys translate_off
16687
defparam \shift[1][4]~I .clock_enable_mode = "true";
16688
defparam \shift[1][4]~I .lut_mask = "ff00";
16689
defparam \shift[1][4]~I .operation_mode = "normal";
16690
defparam \shift[1][4]~I .output_mode = "reg_only";
16691
defparam \shift[1][4]~I .packed_mode = "false";
16692
// synopsys translate_on
16693
 
16694
// atom is at LC2_G2
16695
flex10ke_lcell \pixbyte~34_I (
16696
// Equation(s):
16697
// \pixbyte~34  = \pixnumber_rtl_4|wysi_counter|q [3] & (\shift[1][7] ) # !\pixnumber_rtl_4|wysi_counter|q [3] & \shift[0][7] 
16698
 
16699
	.dataa(vcc),
16700
	.datab(\pixnumber_rtl_4|wysi_counter|q [3]),
16701
	.datac(\shift[0][7] ),
16702
	.datad(\shift[1][7] ),
16703
	.aclr(gnd),
16704
	.aload(gnd),
16705
	.clk(gnd),
16706
	.cin(gnd),
16707
	.cascin(vcc),
16708
	.devclrn(devclrn),
16709
	.devpor(devpor),
16710
	.combout(\pixbyte~34 ),
16711
	.regout(),
16712
	.cout(),
16713
	.cascout());
16714
// synopsys translate_off
16715
defparam \pixbyte~34_I .clock_enable_mode = "false";
16716
defparam \pixbyte~34_I .lut_mask = "fc30";
16717
defparam \pixbyte~34_I .operation_mode = "normal";
16718
defparam \pixbyte~34_I .output_mode = "comb_only";
16719
defparam \pixbyte~34_I .packed_mode = "false";
16720
// synopsys translate_on
16721
 
16722
// atom is at LC2_G20
16723
flex10ke_lcell \pixbyte~35_I (
16724
// Equation(s):
16725
// \pixbyte~35  = \pixnumber_rtl_4|wysi_counter|q [3] & (\shift[1][4] ) # !\pixnumber_rtl_4|wysi_counter|q [3] & \shift[0][4] 
16726
 
16727
	.dataa(vcc),
16728
	.datab(\pixnumber_rtl_4|wysi_counter|q [3]),
16729
	.datac(\shift[0][4] ),
16730
	.datad(\shift[1][4] ),
16731
	.aclr(gnd),
16732
	.aload(gnd),
16733
	.clk(gnd),
16734
	.cin(gnd),
16735
	.cascin(vcc),
16736
	.devclrn(devclrn),
16737
	.devpor(devpor),
16738
	.combout(\pixbyte~35 ),
16739
	.regout(),
16740
	.cout(),
16741
	.cascout());
16742
// synopsys translate_off
16743
defparam \pixbyte~35_I .clock_enable_mode = "false";
16744
defparam \pixbyte~35_I .lut_mask = "fc30";
16745
defparam \pixbyte~35_I .operation_mode = "normal";
16746
defparam \pixbyte~35_I .output_mode = "comb_only";
16747
defparam \pixbyte~35_I .packed_mode = "false";
16748
// synopsys translate_on
16749
 
16750
// atom is at LC1_G4
16751
flex10ke_lcell \pixbyte~38_I (
16752
// Equation(s):
16753
// \pixbyte~38  = \pixnumber_rtl_4|wysi_counter|q [3] & (\shift[1][3] ) # !\pixnumber_rtl_4|wysi_counter|q [3] & \shift[0][3] 
16754
 
16755
	.dataa(vcc),
16756
	.datab(\pixnumber_rtl_4|wysi_counter|q [3]),
16757
	.datac(\shift[0][3] ),
16758
	.datad(\shift[1][3] ),
16759
	.aclr(gnd),
16760
	.aload(gnd),
16761
	.clk(gnd),
16762
	.cin(gnd),
16763
	.cascin(vcc),
16764
	.devclrn(devclrn),
16765
	.devpor(devpor),
16766
	.combout(\pixbyte~38 ),
16767
	.regout(),
16768
	.cout(),
16769
	.cascout());
16770
// synopsys translate_off
16771
defparam \pixbyte~38_I .clock_enable_mode = "false";
16772
defparam \pixbyte~38_I .lut_mask = "fc30";
16773
defparam \pixbyte~38_I .operation_mode = "normal";
16774
defparam \pixbyte~38_I .output_mode = "comb_only";
16775
defparam \pixbyte~38_I .packed_mode = "false";
16776
// synopsys translate_on
16777
 
16778
// atom is at LC2_G6
16779
flex10ke_lcell \shift[1][0]~I (
16780
// Equation(s):
16781
// \shift[1][0]  = DFFEA(\fbuf[0][0] , GLOBAL(\fclk~dataout ), , , wordsync, , )
16782
 
16783
	.dataa(wordsync),
16784
	.datab(vcc),
16785
	.datac(vcc),
16786
	.datad(\fbuf[0][0] ),
16787
	.aclr(gnd),
16788
	.aload(gnd),
16789
	.clk(clk),
16790
	.cin(gnd),
16791
	.cascin(vcc),
16792
	.devclrn(devclrn),
16793
	.devpor(devpor),
16794
	.combout(),
16795
	.regout(\shift[1][0] ),
16796
	.cout(),
16797
	.cascout());
16798
// synopsys translate_off
16799
defparam \shift[1][0]~I .clock_enable_mode = "true";
16800
defparam \shift[1][0]~I .lut_mask = "ff00";
16801
defparam \shift[1][0]~I .operation_mode = "normal";
16802
defparam \shift[1][0]~I .output_mode = "reg_only";
16803
defparam \shift[1][0]~I .packed_mode = "false";
16804
// synopsys translate_on
16805
 
16806
// atom is at LC4_G6
16807
flex10ke_lcell \shift[0][0]~I (
16808
// Equation(s):
16809
// \shift[0][0]  = DFFEA(\fbuf[0][8] , GLOBAL(\fclk~dataout ), , , wordsync, , )
16810
 
16811
	.dataa(wordsync),
16812
	.datab(vcc),
16813
	.datac(vcc),
16814
	.datad(\fbuf[0][8] ),
16815
	.aclr(gnd),
16816
	.aload(gnd),
16817
	.clk(clk),
16818
	.cin(gnd),
16819
	.cascin(vcc),
16820
	.devclrn(devclrn),
16821
	.devpor(devpor),
16822
	.combout(),
16823
	.regout(\shift[0][0] ),
16824
	.cout(),
16825
	.cascout());
16826
// synopsys translate_off
16827
defparam \shift[0][0]~I .clock_enable_mode = "true";
16828
defparam \shift[0][0]~I .lut_mask = "ff00";
16829
defparam \shift[0][0]~I .operation_mode = "normal";
16830
defparam \shift[0][0]~I .output_mode = "reg_only";
16831
defparam \shift[0][0]~I .packed_mode = "false";
16832
// synopsys translate_on
16833
 
16834
// atom is at LC1_G6
16835
flex10ke_lcell \pixbyte~39_I (
16836
// Equation(s):
16837
// \pixbyte~39  = \pixnumber_rtl_4|wysi_counter|q [3] & (\shift[1][0] ) # !\pixnumber_rtl_4|wysi_counter|q [3] & \shift[0][0] 
16838
 
16839
	.dataa(vcc),
16840
	.datab(\pixnumber_rtl_4|wysi_counter|q [3]),
16841
	.datac(\shift[0][0] ),
16842
	.datad(\shift[1][0] ),
16843
	.aclr(gnd),
16844
	.aload(gnd),
16845
	.clk(gnd),
16846
	.cin(gnd),
16847
	.cascin(vcc),
16848
	.devclrn(devclrn),
16849
	.devpor(devpor),
16850
	.combout(\pixbyte~39 ),
16851
	.regout(),
16852
	.cout(),
16853
	.cascout());
16854
// synopsys translate_off
16855
defparam \pixbyte~39_I .clock_enable_mode = "false";
16856
defparam \pixbyte~39_I .lut_mask = "fc30";
16857
defparam \pixbyte~39_I .operation_mode = "normal";
16858
defparam \pixbyte~39_I .output_mode = "comb_only";
16859
defparam \pixbyte~39_I .packed_mode = "false";
16860
// synopsys translate_on
16861
 
16862
// atom is at LC5_G21
16863
flex10ke_lcell \flashctr_rtl_5|wysi_counter|counter_cell[4] (
16864
// Equation(s):
16865
// \flashctr_rtl_5|wysi_counter|q [4] = DFFEA((\flashctr_rtl_5|wysi_counter|q [4] $ (int_start & \flashctr_rtl_5|wysi_counter|counter_cell[3]~COUT )) & VCC, GLOBAL(\fclk~dataout ), , , int_start, , )
16866
 
16867
	.dataa(int_start),
16868
	.datab(vcc),
16869
	.datac(vcc),
16870
	.datad(vcc),
16871
	.aclr(gnd),
16872
	.aload(gnd),
16873
	.clk(clk),
16874
	.cin(\flashctr_rtl_5|wysi_counter|counter_cell[3]~COUT ),
16875
	.cascin(vcc),
16876
	.devclrn(devclrn),
16877
	.devpor(devpor),
16878
	.combout(),
16879
	.regout(\flashctr_rtl_5|wysi_counter|q [4]),
16880
	.cout(),
16881
	.cascout());
16882
// synopsys translate_off
16883
defparam \flashctr_rtl_5|wysi_counter|counter_cell[4] .cin_used = "true";
16884
defparam \flashctr_rtl_5|wysi_counter|counter_cell[4] .clock_enable_mode = "true";
16885
defparam \flashctr_rtl_5|wysi_counter|counter_cell[4] .lut_mask = "6c6c";
16886
defparam \flashctr_rtl_5|wysi_counter|counter_cell[4] .operation_mode = "clrb_cntr";
16887
defparam \flashctr_rtl_5|wysi_counter|counter_cell[4] .output_mode = "reg_only";
16888
defparam \flashctr_rtl_5|wysi_counter|counter_cell[4] .packed_mode = "false";
16889
// synopsys translate_on
16890
 
16891
// atom is at LC8_G21
16892
flex10ke_lcell \zxcolor~197_I (
16893
// Equation(s):
16894
// \zxcolor~197  = \flashctr_rtl_5|wysi_counter|q [4] & (\pixnumber_rtl_4|wysi_counter|q [3] & (\shift[3][7] ) # !\pixnumber_rtl_4|wysi_counter|q [3] & \shift[2][7] )
16895
 
16896
	.dataa(\pixnumber_rtl_4|wysi_counter|q [3]),
16897
	.datab(\shift[2][7] ),
16898
	.datac(\shift[3][7] ),
16899
	.datad(\flashctr_rtl_5|wysi_counter|q [4]),
16900
	.aclr(gnd),
16901
	.aload(gnd),
16902
	.clk(gnd),
16903
	.cin(gnd),
16904
	.cascin(vcc),
16905
	.devclrn(devclrn),
16906
	.devpor(devpor),
16907
	.combout(\zxcolor~197 ),
16908
	.regout(),
16909
	.cout(),
16910
	.cascout());
16911
// synopsys translate_off
16912
defparam \zxcolor~197_I .clock_enable_mode = "false";
16913
defparam \zxcolor~197_I .lut_mask = "e400";
16914
defparam \zxcolor~197_I .operation_mode = "normal";
16915
defparam \zxcolor~197_I .output_mode = "comb_only";
16916
defparam \zxcolor~197_I .packed_mode = "false";
16917
// synopsys translate_on
16918
 
16919
// atom is at LC3_G18
16920
flex10ke_lcell \shift[4][2]~I (
16921
// Equation(s):
16922
// \shift[4][2]  = DFFEA(\fbuf[2][10] , GLOBAL(\fclk~dataout ), , , wordsync, , )
16923
 
16924
	.dataa(wordsync),
16925
	.datab(vcc),
16926
	.datac(vcc),
16927
	.datad(\fbuf[2][10] ),
16928
	.aclr(gnd),
16929
	.aload(gnd),
16930
	.clk(clk),
16931
	.cin(gnd),
16932
	.cascin(vcc),
16933
	.devclrn(devclrn),
16934
	.devpor(devpor),
16935
	.combout(),
16936
	.regout(\shift[4][2] ),
16937
	.cout(),
16938
	.cascout());
16939
// synopsys translate_off
16940
defparam \shift[4][2]~I .clock_enable_mode = "true";
16941
defparam \shift[4][2]~I .lut_mask = "ff00";
16942
defparam \shift[4][2]~I .operation_mode = "normal";
16943
defparam \shift[4][2]~I .output_mode = "reg_only";
16944
defparam \shift[4][2]~I .packed_mode = "false";
16945
// synopsys translate_on
16946
 
16947
// atom is at LC5_G5
16948
flex10ke_lcell \shift[6][5]~I (
16949
// Equation(s):
16950
// \shift[6][5]  = DFFEA(\fbuf[3][13] , GLOBAL(\fclk~dataout ), , , wordsync, , )
16951
 
16952
	.dataa(wordsync),
16953
	.datab(vcc),
16954
	.datac(vcc),
16955
	.datad(\fbuf[3][13] ),
16956
	.aclr(gnd),
16957
	.aload(gnd),
16958
	.clk(clk),
16959
	.cin(gnd),
16960
	.cascin(vcc),
16961
	.devclrn(devclrn),
16962
	.devpor(devpor),
16963
	.combout(),
16964
	.regout(\shift[6][5] ),
16965
	.cout(),
16966
	.cascout());
16967
// synopsys translate_off
16968
defparam \shift[6][5]~I .clock_enable_mode = "true";
16969
defparam \shift[6][5]~I .lut_mask = "ff00";
16970
defparam \shift[6][5]~I .operation_mode = "normal";
16971
defparam \shift[6][5]~I .output_mode = "reg_only";
16972
defparam \shift[6][5]~I .packed_mode = "false";
16973
// synopsys translate_on
16974
 
16975
// atom is at LC3_G26
16976
flex10ke_lcell \shift[5][2]~I (
16977
// Equation(s):
16978
// \shift[5][2]  = DFFEA(\fbuf[2][2] , GLOBAL(\fclk~dataout ), , , wordsync, , )
16979
 
16980
	.dataa(wordsync),
16981
	.datab(vcc),
16982
	.datac(vcc),
16983
	.datad(\fbuf[2][2] ),
16984
	.aclr(gnd),
16985
	.aload(gnd),
16986
	.clk(clk),
16987
	.cin(gnd),
16988
	.cascin(vcc),
16989
	.devclrn(devclrn),
16990
	.devpor(devpor),
16991
	.combout(),
16992
	.regout(\shift[5][2] ),
16993
	.cout(),
16994
	.cascout());
16995
// synopsys translate_off
16996
defparam \shift[5][2]~I .clock_enable_mode = "true";
16997
defparam \shift[5][2]~I .lut_mask = "ff00";
16998
defparam \shift[5][2]~I .operation_mode = "normal";
16999
defparam \shift[5][2]~I .output_mode = "reg_only";
17000
defparam \shift[5][2]~I .packed_mode = "false";
17001
// synopsys translate_on
17002
 
17003
// atom is at LC5_F11
17004
flex10ke_lcell \shift[6][3]~I (
17005
// Equation(s):
17006
// \shift[6][3]  = DFFEA(\fbuf[3][11] , GLOBAL(\fclk~dataout ), , , wordsync, , )
17007
 
17008
	.dataa(wordsync),
17009
	.datab(vcc),
17010
	.datac(vcc),
17011
	.datad(\fbuf[3][11] ),
17012
	.aclr(gnd),
17013
	.aload(gnd),
17014
	.clk(clk),
17015
	.cin(gnd),
17016
	.cascin(vcc),
17017
	.devclrn(devclrn),
17018
	.devpor(devpor),
17019
	.combout(),
17020
	.regout(\shift[6][3] ),
17021
	.cout(),
17022
	.cascout());
17023
// synopsys translate_off
17024
defparam \shift[6][3]~I .clock_enable_mode = "true";
17025
defparam \shift[6][3]~I .lut_mask = "ff00";
17026
defparam \shift[6][3]~I .operation_mode = "normal";
17027
defparam \shift[6][3]~I .output_mode = "reg_only";
17028
defparam \shift[6][3]~I .packed_mode = "false";
17029
// synopsys translate_on
17030
 
17031
// atom is at LC1_G11
17032
flex10ke_lcell \shift[4][3]~I (
17033
// Equation(s):
17034
// \shift[4][3]  = DFFEA(\fbuf[2][11] , GLOBAL(\fclk~dataout ), , , wordsync, , )
17035
 
17036
	.dataa(wordsync),
17037
	.datab(vcc),
17038
	.datac(vcc),
17039
	.datad(\fbuf[2][11] ),
17040
	.aclr(gnd),
17041
	.aload(gnd),
17042
	.clk(clk),
17043
	.cin(gnd),
17044
	.cascin(vcc),
17045
	.devclrn(devclrn),
17046
	.devpor(devpor),
17047
	.combout(),
17048
	.regout(\shift[4][3] ),
17049
	.cout(),
17050
	.cascout());
17051
// synopsys translate_off
17052
defparam \shift[4][3]~I .clock_enable_mode = "true";
17053
defparam \shift[4][3]~I .lut_mask = "ff00";
17054
defparam \shift[4][3]~I .operation_mode = "normal";
17055
defparam \shift[4][3]~I .output_mode = "reg_only";
17056
defparam \shift[4][3]~I .packed_mode = "false";
17057
// synopsys translate_on
17058
 
17059
// atom is at LC6_G19
17060
flex10ke_lcell \fbuf[0][15]~I (
17061
// Equation(s):
17062
// \fbuf[0][15]  = DFFEA(rddata_15, GLOBAL(\fclk~dataout ), , , \fbuf[0][14]~415 , , )
17063
 
17064
	.dataa(\fbuf[0][14]~415 ),
17065
	.datab(vcc),
17066
	.datac(vcc),
17067
	.datad(rddata_15),
17068
	.aclr(gnd),
17069
	.aload(gnd),
17070
	.clk(clk),
17071
	.cin(gnd),
17072
	.cascin(vcc),
17073
	.devclrn(devclrn),
17074
	.devpor(devpor),
17075
	.combout(),
17076
	.regout(\fbuf[0][15] ),
17077
	.cout(),
17078
	.cascout());
17079
// synopsys translate_off
17080
defparam \fbuf[0][15]~I .clock_enable_mode = "true";
17081
defparam \fbuf[0][15]~I .lut_mask = "ff00";
17082
defparam \fbuf[0][15]~I .operation_mode = "normal";
17083
defparam \fbuf[0][15]~I .output_mode = "reg_only";
17084
defparam \fbuf[0][15]~I .packed_mode = "false";
17085
// synopsys translate_on
17086
 
17087
// atom is at LC1_G28
17088
flex10ke_lcell \fbuf[3][6]~I (
17089
// Equation(s):
17090
// \fbuf[3][6]  = DFFEA(rddata_6, GLOBAL(\fclk~dataout ), , , \fbuf[3][14]~416 , , )
17091
 
17092
	.dataa(\fbuf[3][14]~416 ),
17093
	.datab(vcc),
17094
	.datac(vcc),
17095
	.datad(rddata_6),
17096
	.aclr(gnd),
17097
	.aload(gnd),
17098
	.clk(clk),
17099
	.cin(gnd),
17100
	.cascin(vcc),
17101
	.devclrn(devclrn),
17102
	.devpor(devpor),
17103
	.combout(),
17104
	.regout(\fbuf[3][6] ),
17105
	.cout(),
17106
	.cascout());
17107
// synopsys translate_off
17108
defparam \fbuf[3][6]~I .clock_enable_mode = "true";
17109
defparam \fbuf[3][6]~I .lut_mask = "ff00";
17110
defparam \fbuf[3][6]~I .operation_mode = "normal";
17111
defparam \fbuf[3][6]~I .output_mode = "reg_only";
17112
defparam \fbuf[3][6]~I .packed_mode = "false";
17113
// synopsys translate_on
17114
 
17115
// atom is at LC3_G10
17116
flex10ke_lcell \fbuf[3][14]~I (
17117
// Equation(s):
17118
// \fbuf[3][14]  = DFFEA(rddata_14, GLOBAL(\fclk~dataout ), , , \fbuf[3][14]~416 , , )
17119
 
17120
	.dataa(\fbuf[3][14]~416 ),
17121
	.datab(vcc),
17122
	.datac(vcc),
17123
	.datad(rddata_14),
17124
	.aclr(gnd),
17125
	.aload(gnd),
17126
	.clk(clk),
17127
	.cin(gnd),
17128
	.cascin(vcc),
17129
	.devclrn(devclrn),
17130
	.devpor(devpor),
17131
	.combout(),
17132
	.regout(\fbuf[3][14] ),
17133
	.cout(),
17134
	.cascout());
17135
// synopsys translate_off
17136
defparam \fbuf[3][14]~I .clock_enable_mode = "true";
17137
defparam \fbuf[3][14]~I .lut_mask = "ff00";
17138
defparam \fbuf[3][14]~I .operation_mode = "normal";
17139
defparam \fbuf[3][14]~I .output_mode = "reg_only";
17140
defparam \fbuf[3][14]~I .packed_mode = "false";
17141
// synopsys translate_on
17142
 
17143
// atom is at LC4_G10
17144
flex10ke_lcell \fbuf[0][7]~I (
17145
// Equation(s):
17146
// \fbuf[0][7]  = DFFEA(rddata_7, GLOBAL(\fclk~dataout ), , , \fbuf[0][14]~415 , , )
17147
 
17148
	.dataa(\fbuf[0][14]~415 ),
17149
	.datab(vcc),
17150
	.datac(vcc),
17151
	.datad(rddata_7),
17152
	.aclr(gnd),
17153
	.aload(gnd),
17154
	.clk(clk),
17155
	.cin(gnd),
17156
	.cascin(vcc),
17157
	.devclrn(devclrn),
17158
	.devpor(devpor),
17159
	.combout(),
17160
	.regout(\fbuf[0][7] ),
17161
	.cout(),
17162
	.cascout());
17163
// synopsys translate_off
17164
defparam \fbuf[0][7]~I .clock_enable_mode = "true";
17165
defparam \fbuf[0][7]~I .lut_mask = "ff00";
17166
defparam \fbuf[0][7]~I .operation_mode = "normal";
17167
defparam \fbuf[0][7]~I .output_mode = "reg_only";
17168
defparam \fbuf[0][7]~I .packed_mode = "false";
17169
// synopsys translate_on
17170
 
17171
// atom is at LC3_G23
17172
flex10ke_lcell \fbuf[0][12]~I (
17173
// Equation(s):
17174
// \fbuf[0][12]  = DFFEA(rddata_12, GLOBAL(\fclk~dataout ), , , \fbuf[0][14]~415 , , )
17175
 
17176
	.dataa(\fbuf[0][14]~415 ),
17177
	.datab(vcc),
17178
	.datac(vcc),
17179
	.datad(rddata_12),
17180
	.aclr(gnd),
17181
	.aload(gnd),
17182
	.clk(clk),
17183
	.cin(gnd),
17184
	.cascin(vcc),
17185
	.devclrn(devclrn),
17186
	.devpor(devpor),
17187
	.combout(),
17188
	.regout(\fbuf[0][12] ),
17189
	.cout(),
17190
	.cascout());
17191
// synopsys translate_off
17192
defparam \fbuf[0][12]~I .clock_enable_mode = "true";
17193
defparam \fbuf[0][12]~I .lut_mask = "ff00";
17194
defparam \fbuf[0][12]~I .operation_mode = "normal";
17195
defparam \fbuf[0][12]~I .output_mode = "reg_only";
17196
defparam \fbuf[0][12]~I .packed_mode = "false";
17197
// synopsys translate_on
17198
 
17199
// atom is at LC4_G28
17200
flex10ke_lcell \fbuf[3][12]~I (
17201
// Equation(s):
17202
// \fbuf[3][12]  = DFFEA(rddata_12, GLOBAL(\fclk~dataout ), , , \fbuf[3][14]~416 , , )
17203
 
17204
	.dataa(\fbuf[3][14]~416 ),
17205
	.datab(vcc),
17206
	.datac(vcc),
17207
	.datad(rddata_12),
17208
	.aclr(gnd),
17209
	.aload(gnd),
17210
	.clk(clk),
17211
	.cin(gnd),
17212
	.cascin(vcc),
17213
	.devclrn(devclrn),
17214
	.devpor(devpor),
17215
	.combout(),
17216
	.regout(\fbuf[3][12] ),
17217
	.cout(),
17218
	.cascout());
17219
// synopsys translate_off
17220
defparam \fbuf[3][12]~I .clock_enable_mode = "true";
17221
defparam \fbuf[3][12]~I .lut_mask = "ff00";
17222
defparam \fbuf[3][12]~I .operation_mode = "normal";
17223
defparam \fbuf[3][12]~I .output_mode = "reg_only";
17224
defparam \fbuf[3][12]~I .packed_mode = "false";
17225
// synopsys translate_on
17226
 
17227
// atom is at LC8_G8
17228
flex10ke_lcell \fbuf[3][9]~I (
17229
// Equation(s):
17230
// \fbuf[3][9]  = DFFEA(rddata_9, GLOBAL(\fclk~dataout ), , , \fbuf[3][14]~416 , , )
17231
 
17232
	.dataa(\fbuf[3][14]~416 ),
17233
	.datab(vcc),
17234
	.datac(vcc),
17235
	.datad(rddata_9),
17236
	.aclr(gnd),
17237
	.aload(gnd),
17238
	.clk(clk),
17239
	.cin(gnd),
17240
	.cascin(vcc),
17241
	.devclrn(devclrn),
17242
	.devpor(devpor),
17243
	.combout(),
17244
	.regout(\fbuf[3][9] ),
17245
	.cout(),
17246
	.cascout());
17247
// synopsys translate_off
17248
defparam \fbuf[3][9]~I .clock_enable_mode = "true";
17249
defparam \fbuf[3][9]~I .lut_mask = "ff00";
17250
defparam \fbuf[3][9]~I .operation_mode = "normal";
17251
defparam \fbuf[3][9]~I .output_mode = "reg_only";
17252
defparam \fbuf[3][9]~I .packed_mode = "false";
17253
// synopsys translate_on
17254
 
17255
// atom is at LC5_G22
17256
flex10ke_lcell \fbuf[0][4]~I (
17257
// Equation(s):
17258
// \fbuf[0][4]  = DFFEA(rddata_4, GLOBAL(\fclk~dataout ), , , \fbuf[0][14]~415 , , )
17259
 
17260
	.dataa(\fbuf[0][14]~415 ),
17261
	.datab(vcc),
17262
	.datac(vcc),
17263
	.datad(rddata_4),
17264
	.aclr(gnd),
17265
	.aload(gnd),
17266
	.clk(clk),
17267
	.cin(gnd),
17268
	.cascin(vcc),
17269
	.devclrn(devclrn),
17270
	.devpor(devpor),
17271
	.combout(),
17272
	.regout(\fbuf[0][4] ),
17273
	.cout(),
17274
	.cascout());
17275
// synopsys translate_off
17276
defparam \fbuf[0][4]~I .clock_enable_mode = "true";
17277
defparam \fbuf[0][4]~I .lut_mask = "ff00";
17278
defparam \fbuf[0][4]~I .operation_mode = "normal";
17279
defparam \fbuf[0][4]~I .output_mode = "reg_only";
17280
defparam \fbuf[0][4]~I .packed_mode = "false";
17281
// synopsys translate_on
17282
 
17283
// atom is at LC6_G17
17284
flex10ke_lcell \fbuf[0][0]~I (
17285
// Equation(s):
17286
// \fbuf[0][0]  = DFFEA(rddata_0, GLOBAL(\fclk~dataout ), , , \fbuf[0][14]~415 , , )
17287
 
17288
	.dataa(\fbuf[0][14]~415 ),
17289
	.datab(vcc),
17290
	.datac(vcc),
17291
	.datad(rddata_0),
17292
	.aclr(gnd),
17293
	.aload(gnd),
17294
	.clk(clk),
17295
	.cin(gnd),
17296
	.cascin(vcc),
17297
	.devclrn(devclrn),
17298
	.devpor(devpor),
17299
	.combout(),
17300
	.regout(\fbuf[0][0] ),
17301
	.cout(),
17302
	.cascout());
17303
// synopsys translate_off
17304
defparam \fbuf[0][0]~I .clock_enable_mode = "true";
17305
defparam \fbuf[0][0]~I .lut_mask = "ff00";
17306
defparam \fbuf[0][0]~I .operation_mode = "normal";
17307
defparam \fbuf[0][0]~I .output_mode = "reg_only";
17308
defparam \fbuf[0][0]~I .packed_mode = "false";
17309
// synopsys translate_on
17310
 
17311
// atom is at LC6_G6
17312
flex10ke_lcell \fbuf[0][8]~I (
17313
// Equation(s):
17314
// \fbuf[0][8]  = DFFEA(rddata_8, GLOBAL(\fclk~dataout ), , , \fbuf[0][14]~415 , , )
17315
 
17316
	.dataa(\fbuf[0][14]~415 ),
17317
	.datab(vcc),
17318
	.datac(vcc),
17319
	.datad(rddata_8),
17320
	.aclr(gnd),
17321
	.aload(gnd),
17322
	.clk(clk),
17323
	.cin(gnd),
17324
	.cascin(vcc),
17325
	.devclrn(devclrn),
17326
	.devpor(devpor),
17327
	.combout(),
17328
	.regout(\fbuf[0][8] ),
17329
	.cout(),
17330
	.cascout());
17331
// synopsys translate_off
17332
defparam \fbuf[0][8]~I .clock_enable_mode = "true";
17333
defparam \fbuf[0][8]~I .lut_mask = "ff00";
17334
defparam \fbuf[0][8]~I .operation_mode = "normal";
17335
defparam \fbuf[0][8]~I .output_mode = "reg_only";
17336
defparam \fbuf[0][8]~I .packed_mode = "false";
17337
// synopsys translate_on
17338
 
17339
// atom is at LC4_G21
17340
flex10ke_lcell \flashctr_rtl_5|wysi_counter|counter_cell[3] (
17341
// Equation(s):
17342
// \flashctr_rtl_5|wysi_counter|counter_cell[3]~COUT  = CARRY( & (\flashctr_rtl_5|wysi_counter|counter_cell[2]~COUT ))
17343
 
17344
	.dataa(int_start),
17345
	.datab(vcc),
17346
	.datac(vcc),
17347
	.datad(vcc),
17348
	.aclr(gnd),
17349
	.aload(gnd),
17350
	.clk(clk),
17351
	.cin(\flashctr_rtl_5|wysi_counter|counter_cell[2]~COUT ),
17352
	.cascin(vcc),
17353
	.devclrn(devclrn),
17354
	.devpor(devpor),
17355
	.combout(),
17356
	.regout(\flashctr_rtl_5|wysi_counter|q [3]),
17357
	.cout(\flashctr_rtl_5|wysi_counter|counter_cell[3]~COUT ),
17358
	.cascout());
17359
// synopsys translate_off
17360
defparam \flashctr_rtl_5|wysi_counter|counter_cell[3] .cin_used = "true";
17361
defparam \flashctr_rtl_5|wysi_counter|counter_cell[3] .clock_enable_mode = "true";
17362
defparam \flashctr_rtl_5|wysi_counter|counter_cell[3] .lut_mask = "6ca0";
17363
defparam \flashctr_rtl_5|wysi_counter|counter_cell[3] .operation_mode = "clrb_cntr";
17364
defparam \flashctr_rtl_5|wysi_counter|counter_cell[3] .output_mode = "none";
17365
defparam \flashctr_rtl_5|wysi_counter|counter_cell[3] .packed_mode = "false";
17366
// synopsys translate_on
17367
 
17368
// atom is at LC8_G18
17369
flex10ke_lcell \fbuf[2][10]~I (
17370
// Equation(s):
17371
// \fbuf[2][10]  = DFFEA(rddata_10, GLOBAL(\fclk~dataout ), , , \fbuf[2][14]~413 , , )
17372
 
17373
	.dataa(\fbuf[2][14]~413 ),
17374
	.datab(vcc),
17375
	.datac(vcc),
17376
	.datad(rddata_10),
17377
	.aclr(gnd),
17378
	.aload(gnd),
17379
	.clk(clk),
17380
	.cin(gnd),
17381
	.cascin(vcc),
17382
	.devclrn(devclrn),
17383
	.devpor(devpor),
17384
	.combout(),
17385
	.regout(\fbuf[2][10] ),
17386
	.cout(),
17387
	.cascout());
17388
// synopsys translate_off
17389
defparam \fbuf[2][10]~I .clock_enable_mode = "true";
17390
defparam \fbuf[2][10]~I .lut_mask = "ff00";
17391
defparam \fbuf[2][10]~I .operation_mode = "normal";
17392
defparam \fbuf[2][10]~I .output_mode = "reg_only";
17393
defparam \fbuf[2][10]~I .packed_mode = "false";
17394
// synopsys translate_on
17395
 
17396
// atom is at LC4_G5
17397
flex10ke_lcell \fbuf[3][13]~I (
17398
// Equation(s):
17399
// \fbuf[3][13]  = DFFEA(rddata_13, GLOBAL(\fclk~dataout ), , , \fbuf[3][14]~416 , , )
17400
 
17401
	.dataa(\fbuf[3][14]~416 ),
17402
	.datab(vcc),
17403
	.datac(vcc),
17404
	.datad(rddata_13),
17405
	.aclr(gnd),
17406
	.aload(gnd),
17407
	.clk(clk),
17408
	.cin(gnd),
17409
	.cascin(vcc),
17410
	.devclrn(devclrn),
17411
	.devpor(devpor),
17412
	.combout(),
17413
	.regout(\fbuf[3][13] ),
17414
	.cout(),
17415
	.cascout());
17416
// synopsys translate_off
17417
defparam \fbuf[3][13]~I .clock_enable_mode = "true";
17418
defparam \fbuf[3][13]~I .lut_mask = "ff00";
17419
defparam \fbuf[3][13]~I .operation_mode = "normal";
17420
defparam \fbuf[3][13]~I .output_mode = "reg_only";
17421
defparam \fbuf[3][13]~I .packed_mode = "false";
17422
// synopsys translate_on
17423
 
17424
// atom is at LC7_G26
17425
flex10ke_lcell \fbuf[2][2]~I (
17426
// Equation(s):
17427
// \fbuf[2][2]  = DFFEA(rddata_2, GLOBAL(\fclk~dataout ), , , \fbuf[2][14]~413 , , )
17428
 
17429
	.dataa(\fbuf[2][14]~413 ),
17430
	.datab(vcc),
17431
	.datac(vcc),
17432
	.datad(rddata_2),
17433
	.aclr(gnd),
17434
	.aload(gnd),
17435
	.clk(clk),
17436
	.cin(gnd),
17437
	.cascin(vcc),
17438
	.devclrn(devclrn),
17439
	.devpor(devpor),
17440
	.combout(),
17441
	.regout(\fbuf[2][2] ),
17442
	.cout(),
17443
	.cascout());
17444
// synopsys translate_off
17445
defparam \fbuf[2][2]~I .clock_enable_mode = "true";
17446
defparam \fbuf[2][2]~I .lut_mask = "ff00";
17447
defparam \fbuf[2][2]~I .operation_mode = "normal";
17448
defparam \fbuf[2][2]~I .output_mode = "reg_only";
17449
defparam \fbuf[2][2]~I .packed_mode = "false";
17450
// synopsys translate_on
17451
 
17452
// atom is at LC8_F11
17453
flex10ke_lcell \fbuf[3][11]~I (
17454
// Equation(s):
17455
// \fbuf[3][11]  = DFFEA(rddata_11, GLOBAL(\fclk~dataout ), , , \fbuf[3][14]~416 , , )
17456
 
17457
	.dataa(\fbuf[3][14]~416 ),
17458
	.datab(vcc),
17459
	.datac(vcc),
17460
	.datad(rddata_11),
17461
	.aclr(gnd),
17462
	.aload(gnd),
17463
	.clk(clk),
17464
	.cin(gnd),
17465
	.cascin(vcc),
17466
	.devclrn(devclrn),
17467
	.devpor(devpor),
17468
	.combout(),
17469
	.regout(\fbuf[3][11] ),
17470
	.cout(),
17471
	.cascout());
17472
// synopsys translate_off
17473
defparam \fbuf[3][11]~I .clock_enable_mode = "true";
17474
defparam \fbuf[3][11]~I .lut_mask = "ff00";
17475
defparam \fbuf[3][11]~I .operation_mode = "normal";
17476
defparam \fbuf[3][11]~I .output_mode = "reg_only";
17477
defparam \fbuf[3][11]~I .packed_mode = "false";
17478
// synopsys translate_on
17479
 
17480
// atom is at LC6_G11
17481
flex10ke_lcell \fbuf[2][11]~I (
17482
// Equation(s):
17483
// \fbuf[2][11]  = DFFEA(rddata_11, GLOBAL(\fclk~dataout ), , , \fbuf[2][14]~413 , , )
17484
 
17485
	.dataa(\fbuf[2][14]~413 ),
17486
	.datab(vcc),
17487
	.datac(vcc),
17488
	.datad(rddata_11),
17489
	.aclr(gnd),
17490
	.aload(gnd),
17491
	.clk(clk),
17492
	.cin(gnd),
17493
	.cascin(vcc),
17494
	.devclrn(devclrn),
17495
	.devpor(devpor),
17496
	.combout(),
17497
	.regout(\fbuf[2][11] ),
17498
	.cout(),
17499
	.cascout());
17500
// synopsys translate_off
17501
defparam \fbuf[2][11]~I .clock_enable_mode = "true";
17502
defparam \fbuf[2][11]~I .lut_mask = "ff00";
17503
defparam \fbuf[2][11]~I .operation_mode = "normal";
17504
defparam \fbuf[2][11]~I .output_mode = "reg_only";
17505
defparam \fbuf[2][11]~I .packed_mode = "false";
17506
// synopsys translate_on
17507
 
17508
// atom is at LC2_I14
17509
flex10ke_lcell \always4~0_I (
17510
// Equation(s):
17511
// \always4~0  = !\fcnt_rtl_8|wysi_counter|q [4] & wordsync
17512
 
17513
	.dataa(vcc),
17514
	.datab(vcc),
17515
	.datac(\fcnt_rtl_8|wysi_counter|q [4]),
17516
	.datad(wordsync),
17517
	.aclr(gnd),
17518
	.aload(gnd),
17519
	.clk(gnd),
17520
	.cin(gnd),
17521
	.cascin(vcc),
17522
	.devclrn(devclrn),
17523
	.devpor(devpor),
17524
	.combout(\always4~0 ),
17525
	.regout(),
17526
	.cout(),
17527
	.cascout());
17528
// synopsys translate_off
17529
defparam \always4~0_I .clock_enable_mode = "false";
17530
defparam \always4~0_I .lut_mask = "0f00";
17531
defparam \always4~0_I .operation_mode = "normal";
17532
defparam \always4~0_I .output_mode = "comb_only";
17533
defparam \always4~0_I .packed_mode = "false";
17534
// synopsys translate_on
17535
 
17536
// atom is at LC6_I22
17537
flex10ke_lcell \ddcnt[1]~I (
17538
// Equation(s):
17539
// ddcnt[1] = DFFEA(dcnt_1, GLOBAL(\fclk~dataout ), , , \ddcnt[1]~18 , , )
17540
 
17541
	.dataa(\ddcnt[1]~18 ),
17542
	.datab(vcc),
17543
	.datac(vcc),
17544
	.datad(dcnt_1),
17545
	.aclr(gnd),
17546
	.aload(gnd),
17547
	.clk(clk),
17548
	.cin(gnd),
17549
	.cascin(vcc),
17550
	.devclrn(devclrn),
17551
	.devpor(devpor),
17552
	.combout(),
17553
	.regout(ddcnt[1]),
17554
	.cout(),
17555
	.cascout());
17556
// synopsys translate_off
17557
defparam \ddcnt[1]~I .clock_enable_mode = "true";
17558
defparam \ddcnt[1]~I .lut_mask = "ff00";
17559
defparam \ddcnt[1]~I .operation_mode = "normal";
17560
defparam \ddcnt[1]~I .output_mode = "reg_only";
17561
defparam \ddcnt[1]~I .packed_mode = "false";
17562
// synopsys translate_on
17563
 
17564
// atom is at LC5_I22
17565
flex10ke_lcell \ddcnt[0]~I (
17566
// Equation(s):
17567
// ddcnt[0] = DFFEA(dcnt_0, GLOBAL(\fclk~dataout ), , , \ddcnt[1]~18 , , )
17568
 
17569
	.dataa(\ddcnt[1]~18 ),
17570
	.datab(vcc),
17571
	.datac(vcc),
17572
	.datad(dcnt_0),
17573
	.aclr(gnd),
17574
	.aload(gnd),
17575
	.clk(clk),
17576
	.cin(gnd),
17577
	.cascin(vcc),
17578
	.devclrn(devclrn),
17579
	.devpor(devpor),
17580
	.combout(),
17581
	.regout(ddcnt[0]),
17582
	.cout(),
17583
	.cascout());
17584
// synopsys translate_off
17585
defparam \ddcnt[0]~I .clock_enable_mode = "true";
17586
defparam \ddcnt[0]~I .lut_mask = "ff00";
17587
defparam \ddcnt[0]~I .operation_mode = "normal";
17588
defparam \ddcnt[0]~I .output_mode = "reg_only";
17589
defparam \ddcnt[0]~I .packed_mode = "false";
17590
// synopsys translate_on
17591
 
17592
// atom is at LC1_I19
17593
flex10ke_lcell \fbuf[2][14]~413_I (
17594
// Equation(s):
17595
// \fbuf[2][14]~413  = !ddcnt[0] & ddcnt[1] & peff7_int_0 & video_strobe
17596
 
17597
	.dataa(ddcnt[0]),
17598
	.datab(ddcnt[1]),
17599
	.datac(peff7_int_0),
17600
	.datad(video_strobe),
17601
	.aclr(gnd),
17602
	.aload(gnd),
17603
	.clk(gnd),
17604
	.cin(gnd),
17605
	.cascin(vcc),
17606
	.devclrn(devclrn),
17607
	.devpor(devpor),
17608
	.combout(\fbuf[2][14]~413 ),
17609
	.regout(),
17610
	.cout(),
17611
	.cascout());
17612
// synopsys translate_off
17613
defparam \fbuf[2][14]~413_I .clock_enable_mode = "false";
17614
defparam \fbuf[2][14]~413_I .lut_mask = "4000";
17615
defparam \fbuf[2][14]~413_I .operation_mode = "normal";
17616
defparam \fbuf[2][14]~413_I .output_mode = "comb_only";
17617
defparam \fbuf[2][14]~413_I .packed_mode = "false";
17618
// synopsys translate_on
17619
 
17620
// atom is at LC3_I19
17621
flex10ke_lcell \fbuf[1][14]~414_I (
17622
// Equation(s):
17623
// \fbuf[1][14]~414  = video_strobe & ddcnt[0] & (!peff7_int_0 # !ddcnt[1])
17624
 
17625
	.dataa(ddcnt[1]),
17626
	.datab(peff7_int_0),
17627
	.datac(video_strobe),
17628
	.datad(ddcnt[0]),
17629
	.aclr(gnd),
17630
	.aload(gnd),
17631
	.clk(gnd),
17632
	.cin(gnd),
17633
	.cascin(vcc),
17634
	.devclrn(devclrn),
17635
	.devpor(devpor),
17636
	.combout(\fbuf[1][14]~414 ),
17637
	.regout(),
17638
	.cout(),
17639
	.cascout());
17640
// synopsys translate_off
17641
defparam \fbuf[1][14]~414_I .clock_enable_mode = "false";
17642
defparam \fbuf[1][14]~414_I .lut_mask = "7000";
17643
defparam \fbuf[1][14]~414_I .operation_mode = "normal";
17644
defparam \fbuf[1][14]~414_I .output_mode = "comb_only";
17645
defparam \fbuf[1][14]~414_I .packed_mode = "false";
17646
// synopsys translate_on
17647
 
17648
// atom is at LC8_I19
17649
flex10ke_lcell \fbuf[0][14]~415_I (
17650
// Equation(s):
17651
// \fbuf[0][14]~415  = !ddcnt[0] & video_strobe & (!peff7_int_0 # !ddcnt[1])
17652
 
17653
	.dataa(ddcnt[0]),
17654
	.datab(ddcnt[1]),
17655
	.datac(peff7_int_0),
17656
	.datad(video_strobe),
17657
	.aclr(gnd),
17658
	.aload(gnd),
17659
	.clk(gnd),
17660
	.cin(gnd),
17661
	.cascin(vcc),
17662
	.devclrn(devclrn),
17663
	.devpor(devpor),
17664
	.combout(\fbuf[0][14]~415 ),
17665
	.regout(),
17666
	.cout(),
17667
	.cascout());
17668
// synopsys translate_off
17669
defparam \fbuf[0][14]~415_I .clock_enable_mode = "false";
17670
defparam \fbuf[0][14]~415_I .lut_mask = "1500";
17671
defparam \fbuf[0][14]~415_I .operation_mode = "normal";
17672
defparam \fbuf[0][14]~415_I .output_mode = "comb_only";
17673
defparam \fbuf[0][14]~415_I .packed_mode = "false";
17674
// synopsys translate_on
17675
 
17676
// atom is at LC6_I19
17677
flex10ke_lcell \fbuf[3][14]~416_I (
17678
// Equation(s):
17679
// \fbuf[3][14]~416  = ddcnt[1] & peff7_int_0 & video_strobe & ddcnt[0]
17680
 
17681
	.dataa(ddcnt[1]),
17682
	.datab(peff7_int_0),
17683
	.datac(video_strobe),
17684
	.datad(ddcnt[0]),
17685
	.aclr(gnd),
17686
	.aload(gnd),
17687
	.clk(gnd),
17688
	.cin(gnd),
17689
	.cascin(vcc),
17690
	.devclrn(devclrn),
17691
	.devpor(devpor),
17692
	.combout(\fbuf[3][14]~416 ),
17693
	.regout(),
17694
	.cout(),
17695
	.cascout());
17696
// synopsys translate_off
17697
defparam \fbuf[3][14]~416_I .clock_enable_mode = "false";
17698
defparam \fbuf[3][14]~416_I .lut_mask = "8000";
17699
defparam \fbuf[3][14]~416_I .operation_mode = "normal";
17700
defparam \fbuf[3][14]~416_I .output_mode = "comb_only";
17701
defparam \fbuf[3][14]~416_I .packed_mode = "false";
17702
// synopsys translate_on
17703
 
17704
// atom is at LC3_G21
17705
flex10ke_lcell \flashctr_rtl_5|wysi_counter|counter_cell[2] (
17706
// Equation(s):
17707
// \flashctr_rtl_5|wysi_counter|counter_cell[2]~COUT  = CARRY( & (\flashctr_rtl_5|wysi_counter|counter_cell[1]~COUT ))
17708
 
17709
	.dataa(int_start),
17710
	.datab(vcc),
17711
	.datac(vcc),
17712
	.datad(vcc),
17713
	.aclr(gnd),
17714
	.aload(gnd),
17715
	.clk(clk),
17716
	.cin(\flashctr_rtl_5|wysi_counter|counter_cell[1]~COUT ),
17717
	.cascin(vcc),
17718
	.devclrn(devclrn),
17719
	.devpor(devpor),
17720
	.combout(),
17721
	.regout(\flashctr_rtl_5|wysi_counter|q [2]),
17722
	.cout(\flashctr_rtl_5|wysi_counter|counter_cell[2]~COUT ),
17723
	.cascout());
17724
// synopsys translate_off
17725
defparam \flashctr_rtl_5|wysi_counter|counter_cell[2] .cin_used = "true";
17726
defparam \flashctr_rtl_5|wysi_counter|counter_cell[2] .clock_enable_mode = "true";
17727
defparam \flashctr_rtl_5|wysi_counter|counter_cell[2] .lut_mask = "6ca0";
17728
defparam \flashctr_rtl_5|wysi_counter|counter_cell[2] .operation_mode = "clrb_cntr";
17729
defparam \flashctr_rtl_5|wysi_counter|counter_cell[2] .output_mode = "none";
17730
defparam \flashctr_rtl_5|wysi_counter|counter_cell[2] .packed_mode = "false";
17731
// synopsys translate_on
17732
 
17733
// atom is at LC4_I22
17734
flex10ke_lcell \ddcnt[1]~18_I (
17735
// Equation(s):
17736
// \ddcnt[1]~18  = !line_start & video_next
17737
 
17738
	.dataa(vcc),
17739
	.datab(vcc),
17740
	.datac(line_start),
17741
	.datad(video_next),
17742
	.aclr(gnd),
17743
	.aload(gnd),
17744
	.clk(gnd),
17745
	.cin(gnd),
17746
	.cascin(vcc),
17747
	.devclrn(devclrn),
17748
	.devpor(devpor),
17749
	.combout(\ddcnt[1]~18 ),
17750
	.regout(),
17751
	.cout(),
17752
	.cascout());
17753
// synopsys translate_off
17754
defparam \ddcnt[1]~18_I .clock_enable_mode = "false";
17755
defparam \ddcnt[1]~18_I .lut_mask = "0f00";
17756
defparam \ddcnt[1]~18_I .operation_mode = "normal";
17757
defparam \ddcnt[1]~18_I .output_mode = "comb_only";
17758
defparam \ddcnt[1]~18_I .packed_mode = "false";
17759
// synopsys translate_on
17760
 
17761
// atom is at LC2_G21
17762
flex10ke_lcell \flashctr_rtl_5|wysi_counter|counter_cell[1] (
17763
// Equation(s):
17764
// \flashctr_rtl_5|wysi_counter|counter_cell[1]~COUT  = CARRY( & (\flashctr_rtl_5|wysi_counter|counter_cell[0]~COUT ))
17765
 
17766
	.dataa(int_start),
17767
	.datab(vcc),
17768
	.datac(vcc),
17769
	.datad(vcc),
17770
	.aclr(gnd),
17771
	.aload(gnd),
17772
	.clk(clk),
17773
	.cin(\flashctr_rtl_5|wysi_counter|counter_cell[0]~COUT ),
17774
	.cascin(vcc),
17775
	.devclrn(devclrn),
17776
	.devpor(devpor),
17777
	.combout(),
17778
	.regout(\flashctr_rtl_5|wysi_counter|q [1]),
17779
	.cout(\flashctr_rtl_5|wysi_counter|counter_cell[1]~COUT ),
17780
	.cascout());
17781
// synopsys translate_off
17782
defparam \flashctr_rtl_5|wysi_counter|counter_cell[1] .cin_used = "true";
17783
defparam \flashctr_rtl_5|wysi_counter|counter_cell[1] .clock_enable_mode = "true";
17784
defparam \flashctr_rtl_5|wysi_counter|counter_cell[1] .lut_mask = "6ca0";
17785
defparam \flashctr_rtl_5|wysi_counter|counter_cell[1] .operation_mode = "clrb_cntr";
17786
defparam \flashctr_rtl_5|wysi_counter|counter_cell[1] .output_mode = "none";
17787
defparam \flashctr_rtl_5|wysi_counter|counter_cell[1] .packed_mode = "false";
17788
// synopsys translate_on
17789
 
17790
// atom is at LC1_G21
17791
flex10ke_lcell \flashctr_rtl_5|wysi_counter|counter_cell[0] (
17792
// Equation(s):
17793
// \flashctr_rtl_5|wysi_counter|counter_cell[0]~COUT  = CARRY()
17794
 
17795
	.dataa(int_start),
17796
	.datab(vcc),
17797
	.datac(vcc),
17798
	.datad(vcc),
17799
	.aclr(gnd),
17800
	.aload(gnd),
17801
	.clk(clk),
17802
	.cin(gnd),
17803
	.cascin(vcc),
17804
	.devclrn(devclrn),
17805
	.devpor(devpor),
17806
	.combout(),
17807
	.regout(\flashctr_rtl_5|wysi_counter|q [0]),
17808
	.cout(\flashctr_rtl_5|wysi_counter|counter_cell[0]~COUT ),
17809
	.cascout());
17810
// synopsys translate_off
17811
defparam \flashctr_rtl_5|wysi_counter|counter_cell[0] .clock_enable_mode = "true";
17812
defparam \flashctr_rtl_5|wysi_counter|counter_cell[0] .lut_mask = "66aa";
17813
defparam \flashctr_rtl_5|wysi_counter|counter_cell[0] .operation_mode = "clrb_cntr";
17814
defparam \flashctr_rtl_5|wysi_counter|counter_cell[0] .output_mode = "none";
17815
defparam \flashctr_rtl_5|wysi_counter|counter_cell[0] .packed_mode = "false";
17816
// synopsys translate_on
17817
 
17818
// atom is at LC1_I14
17819
flex10ke_lcell \go~I (
17820
// Equation(s):
17821
// go = DFFEA(\go~69  & go # !go_start, GLOBAL(\fclk~dataout ), , , , , )
17822
 
17823
	.dataa(vcc),
17824
	.datab(\go~69 ),
17825
	.datac(go),
17826
	.datad(go_start),
17827
	.aclr(gnd),
17828
	.aload(gnd),
17829
	.clk(clk),
17830
	.cin(gnd),
17831
	.cascin(vcc),
17832
	.devclrn(devclrn),
17833
	.devpor(devpor),
17834
	.combout(),
17835
	.regout(go),
17836
	.cout(),
17837
	.cascout());
17838
// synopsys translate_off
17839
defparam \go~I .clock_enable_mode = "false";
17840
defparam \go~I .lut_mask = "c0ff";
17841
defparam \go~I .operation_mode = "normal";
17842
defparam \go~I .output_mode = "reg_only";
17843
defparam \go~I .packed_mode = "false";
17844
// synopsys translate_on
17845
 
17846
// atom is at LC2_G15
17847
flex10ke_lcell \Mux10~30_I (
17848
// Equation(s):
17849
// Mux10 = \Mux10~27  & (\Mux10~29  # !\pixnumber_rtl_4|wysi_counter|q [0]) # !\Mux10~27  & (\pixnumber_rtl_4|wysi_counter|q [0] & \Mux10~22 )
17850
 
17851
	.dataa(\Mux10~29 ),
17852
	.datab(\Mux10~27 ),
17853
	.datac(\pixnumber_rtl_4|wysi_counter|q [0]),
17854
	.datad(\Mux10~22 ),
17855
	.aclr(gnd),
17856
	.aload(gnd),
17857
	.clk(gnd),
17858
	.cin(gnd),
17859
	.cascin(vcc),
17860
	.devclrn(devclrn),
17861
	.devpor(devpor),
17862
	.combout(Mux10),
17863
	.regout(),
17864
	.cout(),
17865
	.cascout());
17866
// synopsys translate_off
17867
defparam \Mux10~30_I .clock_enable_mode = "false";
17868
defparam \Mux10~30_I .lut_mask = "bc8c";
17869
defparam \Mux10~30_I .operation_mode = "normal";
17870
defparam \Mux10~30_I .output_mode = "comb_only";
17871
defparam \Mux10~30_I .packed_mode = "false";
17872
// synopsys translate_on
17873
 
17874
// atom is at LC7_G14
17875
flex10ke_lcell \zxcolor[3]~196_I (
17876
// Equation(s):
17877
// zxcolor_3 = \pixnumber_rtl_4|wysi_counter|q [3] & (\shift[3][6] ) # !\pixnumber_rtl_4|wysi_counter|q [3] & \shift[2][6] 
17878
 
17879
	.dataa(vcc),
17880
	.datab(\pixnumber_rtl_4|wysi_counter|q [3]),
17881
	.datac(\shift[2][6] ),
17882
	.datad(\shift[3][6] ),
17883
	.aclr(gnd),
17884
	.aload(gnd),
17885
	.clk(gnd),
17886
	.cin(gnd),
17887
	.cascin(vcc),
17888
	.devclrn(devclrn),
17889
	.devpor(devpor),
17890
	.combout(zxcolor_3),
17891
	.regout(),
17892
	.cout(),
17893
	.cascout());
17894
// synopsys translate_off
17895
defparam \zxcolor[3]~196_I .clock_enable_mode = "false";
17896
defparam \zxcolor[3]~196_I .lut_mask = "fc30";
17897
defparam \zxcolor[3]~196_I .operation_mode = "normal";
17898
defparam \zxcolor[3]~196_I .output_mode = "comb_only";
17899
defparam \zxcolor[3]~196_I .packed_mode = "false";
17900
// synopsys translate_on
17901
 
17902
// atom is at LC5_G20
17903
flex10ke_lcell \Mux15~30_I (
17904
// Equation(s):
17905
// Mux15 = \Mux15~27  & (\Mux15~29  # !\pixnumber_rtl_4|wysi_counter|q [3]) # !\Mux15~27  & (\pixnumber_rtl_4|wysi_counter|q [3] & \Mux15~22 )
17906
 
17907
	.dataa(\Mux15~29 ),
17908
	.datab(\Mux15~27 ),
17909
	.datac(\pixnumber_rtl_4|wysi_counter|q [3]),
17910
	.datad(\Mux15~22 ),
17911
	.aclr(gnd),
17912
	.aload(gnd),
17913
	.clk(gnd),
17914
	.cin(gnd),
17915
	.cascin(vcc),
17916
	.devclrn(devclrn),
17917
	.devpor(devpor),
17918
	.combout(Mux15),
17919
	.regout(),
17920
	.cout(),
17921
	.cascout());
17922
// synopsys translate_off
17923
defparam \Mux15~30_I .clock_enable_mode = "false";
17924
defparam \Mux15~30_I .lut_mask = "bc8c";
17925
defparam \Mux15~30_I .operation_mode = "normal";
17926
defparam \Mux15~30_I .output_mode = "comb_only";
17927
defparam \Mux15~30_I .packed_mode = "false";
17928
// synopsys translate_on
17929
 
17930
// atom is at LC2_G1
17931
flex10ke_lcell \zxcolor~199_I (
17932
// Equation(s):
17933
// zxcolor = \zxcolor~198  & (\shift[3][1]  # !\zxcolor~1 ) # !\zxcolor~198  & (\zxcolor~1  & \shift[2][1] )
17934
 
17935
	.dataa(\shift[3][1] ),
17936
	.datab(\zxcolor~198 ),
17937
	.datac(\zxcolor~1 ),
17938
	.datad(\shift[2][1] ),
17939
	.aclr(gnd),
17940
	.aload(gnd),
17941
	.clk(gnd),
17942
	.cin(gnd),
17943
	.cascin(vcc),
17944
	.devclrn(devclrn),
17945
	.devpor(devpor),
17946
	.combout(zxcolor),
17947
	.regout(),
17948
	.cout(),
17949
	.cascout());
17950
// synopsys translate_off
17951
defparam \zxcolor~199_I .clock_enable_mode = "false";
17952
defparam \zxcolor~199_I .lut_mask = "bc8c";
17953
defparam \zxcolor~199_I .operation_mode = "normal";
17954
defparam \zxcolor~199_I .output_mode = "comb_only";
17955
defparam \zxcolor~199_I .packed_mode = "false";
17956
// synopsys translate_on
17957
 
17958
// atom is at LC4_F2
17959
flex10ke_lcell \zxcolor[1]~200_I (
17960
// Equation(s):
17961
// zxcolor_1 = peff7_int_0 & (Mux15) # !peff7_int_0 & zxcolor
17962
 
17963
	.dataa(vcc),
17964
	.datab(peff7_int_0),
17965
	.datac(zxcolor),
17966
	.datad(Mux15),
17967
	.aclr(gnd),
17968
	.aload(gnd),
17969
	.clk(gnd),
17970
	.cin(gnd),
17971
	.cascin(vcc),
17972
	.devclrn(devclrn),
17973
	.devpor(devpor),
17974
	.combout(zxcolor_1),
17975
	.regout(),
17976
	.cout(),
17977
	.cascout());
17978
// synopsys translate_off
17979
defparam \zxcolor[1]~200_I .clock_enable_mode = "false";
17980
defparam \zxcolor[1]~200_I .lut_mask = "fc30";
17981
defparam \zxcolor[1]~200_I .operation_mode = "normal";
17982
defparam \zxcolor[1]~200_I .output_mode = "comb_only";
17983
defparam \zxcolor[1]~200_I .packed_mode = "false";
17984
// synopsys translate_on
17985
 
17986
// atom is at LC5_G7
17987
flex10ke_lcell \Mux14~30_I (
17988
// Equation(s):
17989
// Mux14 = \Mux14~27  & (\Mux14~29  # !\pixnumber_rtl_4|wysi_counter|q [3]) # !\Mux14~27  & (\pixnumber_rtl_4|wysi_counter|q [3] & \Mux14~22 )
17990
 
17991
	.dataa(\Mux14~29 ),
17992
	.datab(\Mux14~27 ),
17993
	.datac(\pixnumber_rtl_4|wysi_counter|q [3]),
17994
	.datad(\Mux14~22 ),
17995
	.aclr(gnd),
17996
	.aload(gnd),
17997
	.clk(gnd),
17998
	.cin(gnd),
17999
	.cascin(vcc),
18000
	.devclrn(devclrn),
18001
	.devpor(devpor),
18002
	.combout(Mux14),
18003
	.regout(),
18004
	.cout(),
18005
	.cascout());
18006
// synopsys translate_off
18007
defparam \Mux14~30_I .clock_enable_mode = "false";
18008
defparam \Mux14~30_I .lut_mask = "bc8c";
18009
defparam \Mux14~30_I .operation_mode = "normal";
18010
defparam \Mux14~30_I .output_mode = "comb_only";
18011
defparam \Mux14~30_I .packed_mode = "false";
18012
// synopsys translate_on
18013
 
18014
// atom is at LC1_G16
18015
flex10ke_lcell \zxcolor~202_I (
18016
// Equation(s):
18017
// zxcolor1 = \zxcolor~201  & (\shift[3][2]  # !\zxcolor~1 ) # !\zxcolor~201  & (\zxcolor~1  & \shift[2][2] )
18018
 
18019
	.dataa(\shift[3][2] ),
18020
	.datab(\zxcolor~201 ),
18021
	.datac(\zxcolor~1 ),
18022
	.datad(\shift[2][2] ),
18023
	.aclr(gnd),
18024
	.aload(gnd),
18025
	.clk(gnd),
18026
	.cin(gnd),
18027
	.cascin(vcc),
18028
	.devclrn(devclrn),
18029
	.devpor(devpor),
18030
	.combout(zxcolor1),
18031
	.regout(),
18032
	.cout(),
18033
	.cascout());
18034
// synopsys translate_off
18035
defparam \zxcolor~202_I .clock_enable_mode = "false";
18036
defparam \zxcolor~202_I .lut_mask = "bc8c";
18037
defparam \zxcolor~202_I .operation_mode = "normal";
18038
defparam \zxcolor~202_I .output_mode = "comb_only";
18039
defparam \zxcolor~202_I .packed_mode = "false";
18040
// synopsys translate_on
18041
 
18042
// atom is at LC6_F4
18043
flex10ke_lcell \zxcolor[2]~203_I (
18044
// Equation(s):
18045
// zxcolor_2 = peff7_int_0 & (Mux14) # !peff7_int_0 & zxcolor1
18046
 
18047
	.dataa(vcc),
18048
	.datab(peff7_int_0),
18049
	.datac(zxcolor1),
18050
	.datad(Mux14),
18051
	.aclr(gnd),
18052
	.aload(gnd),
18053
	.clk(gnd),
18054
	.cin(gnd),
18055
	.cascin(vcc),
18056
	.devclrn(devclrn),
18057
	.devpor(devpor),
18058
	.combout(zxcolor_2),
18059
	.regout(),
18060
	.cout(),
18061
	.cascout());
18062
// synopsys translate_off
18063
defparam \zxcolor[2]~203_I .clock_enable_mode = "false";
18064
defparam \zxcolor[2]~203_I .lut_mask = "fc30";
18065
defparam \zxcolor[2]~203_I .operation_mode = "normal";
18066
defparam \zxcolor[2]~203_I .output_mode = "comb_only";
18067
defparam \zxcolor[2]~203_I .packed_mode = "false";
18068
// synopsys translate_on
18069
 
18070
// atom is at LC8_G11
18071
flex10ke_lcell \Mux16~30_I (
18072
// Equation(s):
18073
// Mux16 = \Mux16~27  & (\Mux16~29  # !\pixnumber_rtl_4|wysi_counter|q [3]) # !\Mux16~27  & (\pixnumber_rtl_4|wysi_counter|q [3] & \Mux16~22 )
18074
 
18075
	.dataa(\Mux16~29 ),
18076
	.datab(\Mux16~27 ),
18077
	.datac(\pixnumber_rtl_4|wysi_counter|q [3]),
18078
	.datad(\Mux16~22 ),
18079
	.aclr(gnd),
18080
	.aload(gnd),
18081
	.clk(gnd),
18082
	.cin(gnd),
18083
	.cascin(vcc),
18084
	.devclrn(devclrn),
18085
	.devpor(devpor),
18086
	.combout(Mux16),
18087
	.regout(),
18088
	.cout(),
18089
	.cascout());
18090
// synopsys translate_off
18091
defparam \Mux16~30_I .clock_enable_mode = "false";
18092
defparam \Mux16~30_I .lut_mask = "bc8c";
18093
defparam \Mux16~30_I .operation_mode = "normal";
18094
defparam \Mux16~30_I .output_mode = "comb_only";
18095
defparam \Mux16~30_I .packed_mode = "false";
18096
// synopsys translate_on
18097
 
18098
// atom is at LC7_F1
18099
flex10ke_lcell \zxcolor~205_I (
18100
// Equation(s):
18101
// zxcolor2 = \zxcolor~204  & (\shift[3][0]  # !\zxcolor~1 ) # !\zxcolor~204  & (\zxcolor~1  & \shift[2][0] )
18102
 
18103
	.dataa(\shift[3][0] ),
18104
	.datab(\zxcolor~204 ),
18105
	.datac(\zxcolor~1 ),
18106
	.datad(\shift[2][0] ),
18107
	.aclr(gnd),
18108
	.aload(gnd),
18109
	.clk(gnd),
18110
	.cin(gnd),
18111
	.cascin(vcc),
18112
	.devclrn(devclrn),
18113
	.devpor(devpor),
18114
	.combout(zxcolor2),
18115
	.regout(),
18116
	.cout(),
18117
	.cascout());
18118
// synopsys translate_off
18119
defparam \zxcolor~205_I .clock_enable_mode = "false";
18120
defparam \zxcolor~205_I .lut_mask = "bc8c";
18121
defparam \zxcolor~205_I .operation_mode = "normal";
18122
defparam \zxcolor~205_I .output_mode = "comb_only";
18123
defparam \zxcolor~205_I .packed_mode = "false";
18124
// synopsys translate_on
18125
 
18126
// atom is at LC8_F1
18127
flex10ke_lcell \zxcolor[0]~206_I (
18128
// Equation(s):
18129
// zxcolor_0 = peff7_int_0 & (Mux16) # !peff7_int_0 & zxcolor2
18130
 
18131
	.dataa(vcc),
18132
	.datab(peff7_int_0),
18133
	.datac(zxcolor2),
18134
	.datad(Mux16),
18135
	.aclr(gnd),
18136
	.aload(gnd),
18137
	.clk(gnd),
18138
	.cin(gnd),
18139
	.cascin(vcc),
18140
	.devclrn(devclrn),
18141
	.devpor(devpor),
18142
	.combout(zxcolor_0),
18143
	.regout(),
18144
	.cout(),
18145
	.cascout());
18146
// synopsys translate_off
18147
defparam \zxcolor[0]~206_I .clock_enable_mode = "false";
18148
defparam \zxcolor[0]~206_I .lut_mask = "fc30";
18149
defparam \zxcolor[0]~206_I .operation_mode = "normal";
18150
defparam \zxcolor[0]~206_I .output_mode = "comb_only";
18151
defparam \zxcolor[0]~206_I .packed_mode = "false";
18152
// synopsys translate_on
18153
 
18154
// atom is at LC4_I23
18155
flex10ke_lcell \hcnt_rtl_11|wysi_counter|counter_cell[1] (
18156
// Equation(s):
18157
// q_1 = DFFEA((q_1 $ (\hcnt~59  & \hcnt_rtl_11|wysi_counter|counter_cell[0]~COUT )) & line_start1, GLOBAL(\fclk~dataout ), , , , , )
18158
// \hcnt_rtl_11|wysi_counter|counter_cell[1]~COUT  = CARRY(q_1 & (\hcnt_rtl_11|wysi_counter|counter_cell[0]~COUT ))
18159
 
18160
	.dataa(\hcnt~59 ),
18161
	.datab(line_start1),
18162
	.datac(vcc),
18163
	.datad(vcc),
18164
	.aclr(gnd),
18165
	.aload(gnd),
18166
	.clk(clk),
18167
	.cin(\hcnt_rtl_11|wysi_counter|counter_cell[0]~COUT ),
18168
	.cascin(vcc),
18169
	.devclrn(devclrn),
18170
	.devpor(devpor),
18171
	.combout(),
18172
	.regout(q_1),
18173
	.cout(\hcnt_rtl_11|wysi_counter|counter_cell[1]~COUT ),
18174
	.cascout());
18175
// synopsys translate_off
18176
defparam \hcnt_rtl_11|wysi_counter|counter_cell[1] .cin_used = "true";
18177
defparam \hcnt_rtl_11|wysi_counter|counter_cell[1] .clock_enable_mode = "false";
18178
defparam \hcnt_rtl_11|wysi_counter|counter_cell[1] .lut_mask = "6ca0";
18179
defparam \hcnt_rtl_11|wysi_counter|counter_cell[1] .operation_mode = "clrb_cntr";
18180
defparam \hcnt_rtl_11|wysi_counter|counter_cell[1] .output_mode = "reg_only";
18181
defparam \hcnt_rtl_11|wysi_counter|counter_cell[1] .packed_mode = "false";
18182
// synopsys translate_on
18183
 
18184
// atom is at LC5_I23
18185
flex10ke_lcell \hcnt_rtl_11|wysi_counter|counter_cell[2] (
18186
// Equation(s):
18187
// q_2 = DFFEA((q_2 $ (\hcnt~59  & \hcnt_rtl_11|wysi_counter|counter_cell[1]~COUT )) & line_start1, GLOBAL(\fclk~dataout ), , , , , )
18188
// \hcnt_rtl_11|wysi_counter|counter_cell[2]~COUT  = CARRY(q_2 & (\hcnt_rtl_11|wysi_counter|counter_cell[1]~COUT ))
18189
 
18190
	.dataa(\hcnt~59 ),
18191
	.datab(line_start1),
18192
	.datac(vcc),
18193
	.datad(vcc),
18194
	.aclr(gnd),
18195
	.aload(gnd),
18196
	.clk(clk),
18197
	.cin(\hcnt_rtl_11|wysi_counter|counter_cell[1]~COUT ),
18198
	.cascin(vcc),
18199
	.devclrn(devclrn),
18200
	.devpor(devpor),
18201
	.combout(),
18202
	.regout(q_2),
18203
	.cout(\hcnt_rtl_11|wysi_counter|counter_cell[2]~COUT ),
18204
	.cascout());
18205
// synopsys translate_off
18206
defparam \hcnt_rtl_11|wysi_counter|counter_cell[2] .cin_used = "true";
18207
defparam \hcnt_rtl_11|wysi_counter|counter_cell[2] .clock_enable_mode = "false";
18208
defparam \hcnt_rtl_11|wysi_counter|counter_cell[2] .lut_mask = "6ca0";
18209
defparam \hcnt_rtl_11|wysi_counter|counter_cell[2] .operation_mode = "clrb_cntr";
18210
defparam \hcnt_rtl_11|wysi_counter|counter_cell[2] .output_mode = "reg_only";
18211
defparam \hcnt_rtl_11|wysi_counter|counter_cell[2] .packed_mode = "false";
18212
// synopsys translate_on
18213
 
18214
// atom is at LC6_I23
18215
flex10ke_lcell \hcnt_rtl_11|wysi_counter|counter_cell[3] (
18216
// Equation(s):
18217
// q_3 = DFFEA((q_3 $ (\hcnt~59  & \hcnt_rtl_11|wysi_counter|counter_cell[2]~COUT )) & line_start1, GLOBAL(\fclk~dataout ), , , , , )
18218
 
18219
	.dataa(\hcnt~59 ),
18220
	.datab(line_start1),
18221
	.datac(vcc),
18222
	.datad(vcc),
18223
	.aclr(gnd),
18224
	.aload(gnd),
18225
	.clk(clk),
18226
	.cin(\hcnt_rtl_11|wysi_counter|counter_cell[2]~COUT ),
18227
	.cascin(vcc),
18228
	.devclrn(devclrn),
18229
	.devpor(devpor),
18230
	.combout(),
18231
	.regout(q_3),
18232
	.cout(),
18233
	.cascout());
18234
// synopsys translate_off
18235
defparam \hcnt_rtl_11|wysi_counter|counter_cell[3] .cin_used = "true";
18236
defparam \hcnt_rtl_11|wysi_counter|counter_cell[3] .clock_enable_mode = "false";
18237
defparam \hcnt_rtl_11|wysi_counter|counter_cell[3] .lut_mask = "6c6c";
18238
defparam \hcnt_rtl_11|wysi_counter|counter_cell[3] .operation_mode = "clrb_cntr";
18239
defparam \hcnt_rtl_11|wysi_counter|counter_cell[3] .output_mode = "reg_only";
18240
defparam \hcnt_rtl_11|wysi_counter|counter_cell[3] .packed_mode = "false";
18241
// synopsys translate_on
18242
 
18243
// atom is at LC4_I18
18244
flex10ke_lcell \vcnt_rtl_10|wysi_counter|counter_cell[3] (
18245
// Equation(s):
18246
// q_31 = DFFEA(((q_31 $ (\always1~0  & \vcnt_rtl_10|wysi_counter|counter_cell[2]~COUT ) & int_start1) # (int_start & !int_start1)) & VCC, GLOBAL(\fclk~dataout ), , , , , )
18247
// \vcnt_rtl_10|wysi_counter|counter_cell[3]~COUT  = CARRY(q_31 & (\vcnt_rtl_10|wysi_counter|counter_cell[2]~COUT ))
18248
 
18249
	.dataa(\always1~0 ),
18250
	.datab(vcc),
18251
	.datac(int_start),
18252
	.datad(int_start1),
18253
	.aclr(gnd),
18254
	.aload(gnd),
18255
	.clk(clk),
18256
	.cin(\vcnt_rtl_10|wysi_counter|counter_cell[2]~COUT ),
18257
	.cascin(vcc),
18258
	.devclrn(devclrn),
18259
	.devpor(devpor),
18260
	.combout(),
18261
	.regout(q_31),
18262
	.cout(\vcnt_rtl_10|wysi_counter|counter_cell[3]~COUT ),
18263
	.cascout());
18264
// synopsys translate_off
18265
defparam \vcnt_rtl_10|wysi_counter|counter_cell[3] .cin_used = "true";
18266
defparam \vcnt_rtl_10|wysi_counter|counter_cell[3] .clock_enable_mode = "false";
18267
defparam \vcnt_rtl_10|wysi_counter|counter_cell[3] .lut_mask = "6ca0";
18268
defparam \vcnt_rtl_10|wysi_counter|counter_cell[3] .operation_mode = "clrb_cntr";
18269
defparam \vcnt_rtl_10|wysi_counter|counter_cell[3] .output_mode = "reg_only";
18270
defparam \vcnt_rtl_10|wysi_counter|counter_cell[3] .packed_mode = "false";
18271
// synopsys translate_on
18272
 
18273
// atom is at LC5_I18
18274
flex10ke_lcell \vcnt_rtl_10|wysi_counter|counter_cell[4] (
18275
// Equation(s):
18276
// q_4 = DFFEA(((q_4 $ (\always1~0  & \vcnt_rtl_10|wysi_counter|counter_cell[3]~COUT ) & int_start1) # (int_start & !int_start1)) & VCC, GLOBAL(\fclk~dataout ), , , , , )
18277
// \vcnt_rtl_10|wysi_counter|counter_cell[4]~COUT  = CARRY(q_4 & (\vcnt_rtl_10|wysi_counter|counter_cell[3]~COUT ))
18278
 
18279
	.dataa(\always1~0 ),
18280
	.datab(vcc),
18281
	.datac(int_start),
18282
	.datad(int_start1),
18283
	.aclr(gnd),
18284
	.aload(gnd),
18285
	.clk(clk),
18286
	.cin(\vcnt_rtl_10|wysi_counter|counter_cell[3]~COUT ),
18287
	.cascin(vcc),
18288
	.devclrn(devclrn),
18289
	.devpor(devpor),
18290
	.combout(),
18291
	.regout(q_4),
18292
	.cout(\vcnt_rtl_10|wysi_counter|counter_cell[4]~COUT ),
18293
	.cascout());
18294
// synopsys translate_off
18295
defparam \vcnt_rtl_10|wysi_counter|counter_cell[4] .cin_used = "true";
18296
defparam \vcnt_rtl_10|wysi_counter|counter_cell[4] .clock_enable_mode = "false";
18297
defparam \vcnt_rtl_10|wysi_counter|counter_cell[4] .lut_mask = "6ca0";
18298
defparam \vcnt_rtl_10|wysi_counter|counter_cell[4] .operation_mode = "clrb_cntr";
18299
defparam \vcnt_rtl_10|wysi_counter|counter_cell[4] .output_mode = "reg_only";
18300
defparam \vcnt_rtl_10|wysi_counter|counter_cell[4] .packed_mode = "false";
18301
// synopsys translate_on
18302
 
18303
// atom is at LC6_I18
18304
flex10ke_lcell \vcnt_rtl_10|wysi_counter|counter_cell[5] (
18305
// Equation(s):
18306
// q_5 = DFFEA(((q_5 $ (\always1~0  & \vcnt_rtl_10|wysi_counter|counter_cell[4]~COUT ) & int_start1) # (int_start & !int_start1)) & VCC, GLOBAL(\fclk~dataout ), , , , , )
18307
// \vcnt_rtl_10|wysi_counter|counter_cell[5]~COUT  = CARRY(q_5 & (\vcnt_rtl_10|wysi_counter|counter_cell[4]~COUT ))
18308
 
18309
	.dataa(\always1~0 ),
18310
	.datab(vcc),
18311
	.datac(int_start),
18312
	.datad(int_start1),
18313
	.aclr(gnd),
18314
	.aload(gnd),
18315
	.clk(clk),
18316
	.cin(\vcnt_rtl_10|wysi_counter|counter_cell[4]~COUT ),
18317
	.cascin(vcc),
18318
	.devclrn(devclrn),
18319
	.devpor(devpor),
18320
	.combout(),
18321
	.regout(q_5),
18322
	.cout(\vcnt_rtl_10|wysi_counter|counter_cell[5]~COUT ),
18323
	.cascout());
18324
// synopsys translate_off
18325
defparam \vcnt_rtl_10|wysi_counter|counter_cell[5] .cin_used = "true";
18326
defparam \vcnt_rtl_10|wysi_counter|counter_cell[5] .clock_enable_mode = "false";
18327
defparam \vcnt_rtl_10|wysi_counter|counter_cell[5] .lut_mask = "6ca0";
18328
defparam \vcnt_rtl_10|wysi_counter|counter_cell[5] .operation_mode = "clrb_cntr";
18329
defparam \vcnt_rtl_10|wysi_counter|counter_cell[5] .output_mode = "reg_only";
18330
defparam \vcnt_rtl_10|wysi_counter|counter_cell[5] .packed_mode = "false";
18331
// synopsys translate_on
18332
 
18333
// atom is at LC3_I23
18334
flex10ke_lcell \hcnt_rtl_11|wysi_counter|counter_cell[0] (
18335
// Equation(s):
18336
// q_0 = DFFEA((\hcnt~59  $ q_0) & line_start1, GLOBAL(\fclk~dataout ), , , , , )
18337
// \hcnt_rtl_11|wysi_counter|counter_cell[0]~COUT  = CARRY(q_0)
18338
 
18339
	.dataa(\hcnt~59 ),
18340
	.datab(line_start1),
18341
	.datac(vcc),
18342
	.datad(vcc),
18343
	.aclr(gnd),
18344
	.aload(gnd),
18345
	.clk(clk),
18346
	.cin(gnd),
18347
	.cascin(vcc),
18348
	.devclrn(devclrn),
18349
	.devpor(devpor),
18350
	.combout(),
18351
	.regout(q_0),
18352
	.cout(\hcnt_rtl_11|wysi_counter|counter_cell[0]~COUT ),
18353
	.cascout());
18354
// synopsys translate_off
18355
defparam \hcnt_rtl_11|wysi_counter|counter_cell[0] .clock_enable_mode = "false";
18356
defparam \hcnt_rtl_11|wysi_counter|counter_cell[0] .lut_mask = "66aa";
18357
defparam \hcnt_rtl_11|wysi_counter|counter_cell[0] .operation_mode = "clrb_cntr";
18358
defparam \hcnt_rtl_11|wysi_counter|counter_cell[0] .output_mode = "reg_only";
18359
defparam \hcnt_rtl_11|wysi_counter|counter_cell[0] .packed_mode = "false";
18360
// synopsys translate_on
18361
 
18362
// atom is at LC8_I22
18363
flex10ke_lcell \dcnt[0]~I (
18364
// Equation(s):
18365
// dcnt_0 = DFFEA(!line_start & (video_next $ dcnt_0), GLOBAL(\fclk~dataout ), , , , , )
18366
 
18367
	.dataa(vcc),
18368
	.datab(line_start),
18369
	.datac(video_next),
18370
	.datad(dcnt_0),
18371
	.aclr(gnd),
18372
	.aload(gnd),
18373
	.clk(clk),
18374
	.cin(gnd),
18375
	.cascin(vcc),
18376
	.devclrn(devclrn),
18377
	.devpor(devpor),
18378
	.combout(),
18379
	.regout(dcnt_0),
18380
	.cout(),
18381
	.cascout());
18382
// synopsys translate_off
18383
defparam \dcnt[0]~I .clock_enable_mode = "false";
18384
defparam \dcnt[0]~I .lut_mask = "0330";
18385
defparam \dcnt[0]~I .operation_mode = "normal";
18386
defparam \dcnt[0]~I .output_mode = "reg_only";
18387
defparam \dcnt[0]~I .packed_mode = "false";
18388
// synopsys translate_on
18389
 
18390
// atom is at LC3_I22
18391
flex10ke_lcell \dcnt[1]~I (
18392
// Equation(s):
18393
// dcnt_1 = DFFEA(!line_start & (dcnt_1 $ (video_next & dcnt_0)), GLOBAL(\fclk~dataout ), , , , , )
18394
 
18395
	.dataa(line_start),
18396
	.datab(video_next),
18397
	.datac(dcnt_0),
18398
	.datad(dcnt_1),
18399
	.aclr(gnd),
18400
	.aload(gnd),
18401
	.clk(clk),
18402
	.cin(gnd),
18403
	.cascin(vcc),
18404
	.devclrn(devclrn),
18405
	.devpor(devpor),
18406
	.combout(),
18407
	.regout(dcnt_1),
18408
	.cout(),
18409
	.cascout());
18410
// synopsys translate_off
18411
defparam \dcnt[1]~I .clock_enable_mode = "false";
18412
defparam \dcnt[1]~I .lut_mask = "1540";
18413
defparam \dcnt[1]~I .operation_mode = "normal";
18414
defparam \dcnt[1]~I .output_mode = "reg_only";
18415
defparam \dcnt[1]~I .packed_mode = "false";
18416
// synopsys translate_on
18417
 
18418
// atom is at LC8_I18
18419
flex10ke_lcell \vcnt_rtl_10|wysi_counter|counter_cell[7] (
18420
// Equation(s):
18421
// q_7 = DFFEA(((q_7 $ (\always1~0  & \vcnt_rtl_10|wysi_counter|counter_cell[6]~COUT ) & int_start1) # (int_start & !int_start1)) & VCC, GLOBAL(\fclk~dataout ), , , , , )
18422
 
18423
	.dataa(\always1~0 ),
18424
	.datab(vcc),
18425
	.datac(int_start),
18426
	.datad(int_start1),
18427
	.aclr(gnd),
18428
	.aload(gnd),
18429
	.clk(clk),
18430
	.cin(\vcnt_rtl_10|wysi_counter|counter_cell[6]~COUT ),
18431
	.cascin(vcc),
18432
	.devclrn(devclrn),
18433
	.devpor(devpor),
18434
	.combout(),
18435
	.regout(q_7),
18436
	.cout(),
18437
	.cascout());
18438
// synopsys translate_off
18439
defparam \vcnt_rtl_10|wysi_counter|counter_cell[7] .cin_used = "true";
18440
defparam \vcnt_rtl_10|wysi_counter|counter_cell[7] .clock_enable_mode = "false";
18441
defparam \vcnt_rtl_10|wysi_counter|counter_cell[7] .lut_mask = "6c6c";
18442
defparam \vcnt_rtl_10|wysi_counter|counter_cell[7] .operation_mode = "clrb_cntr";
18443
defparam \vcnt_rtl_10|wysi_counter|counter_cell[7] .output_mode = "reg_only";
18444
defparam \vcnt_rtl_10|wysi_counter|counter_cell[7] .packed_mode = "false";
18445
// synopsys translate_on
18446
 
18447
// atom is at LC3_I18
18448
flex10ke_lcell \vcnt_rtl_10|wysi_counter|counter_cell[2] (
18449
// Equation(s):
18450
// q_21 = DFFEA(((q_21 $ (\always1~0  & \vcnt_rtl_10|wysi_counter|counter_cell[1]~COUT ) & int_start1) # (int_start & !int_start1)) & VCC, GLOBAL(\fclk~dataout ), , , , , )
18451
// \vcnt_rtl_10|wysi_counter|counter_cell[2]~COUT  = CARRY(q_21 & (\vcnt_rtl_10|wysi_counter|counter_cell[1]~COUT ))
18452
 
18453
	.dataa(\always1~0 ),
18454
	.datab(vcc),
18455
	.datac(int_start),
18456
	.datad(int_start1),
18457
	.aclr(gnd),
18458
	.aload(gnd),
18459
	.clk(clk),
18460
	.cin(\vcnt_rtl_10|wysi_counter|counter_cell[1]~COUT ),
18461
	.cascin(vcc),
18462
	.devclrn(devclrn),
18463
	.devpor(devpor),
18464
	.combout(),
18465
	.regout(q_21),
18466
	.cout(\vcnt_rtl_10|wysi_counter|counter_cell[2]~COUT ),
18467
	.cascout());
18468
// synopsys translate_off
18469
defparam \vcnt_rtl_10|wysi_counter|counter_cell[2] .cin_used = "true";
18470
defparam \vcnt_rtl_10|wysi_counter|counter_cell[2] .clock_enable_mode = "false";
18471
defparam \vcnt_rtl_10|wysi_counter|counter_cell[2] .lut_mask = "6ca0";
18472
defparam \vcnt_rtl_10|wysi_counter|counter_cell[2] .operation_mode = "clrb_cntr";
18473
defparam \vcnt_rtl_10|wysi_counter|counter_cell[2] .output_mode = "reg_only";
18474
defparam \vcnt_rtl_10|wysi_counter|counter_cell[2] .packed_mode = "false";
18475
// synopsys translate_on
18476
 
18477
// atom is at LC7_I18
18478
flex10ke_lcell \vcnt_rtl_10|wysi_counter|counter_cell[6] (
18479
// Equation(s):
18480
// q_6 = DFFEA(((q_6 $ (\always1~0  & \vcnt_rtl_10|wysi_counter|counter_cell[5]~COUT ) & int_start1) # (int_start & !int_start1)) & VCC, GLOBAL(\fclk~dataout ), , , , , )
18481
// \vcnt_rtl_10|wysi_counter|counter_cell[6]~COUT  = CARRY(q_6 & (\vcnt_rtl_10|wysi_counter|counter_cell[5]~COUT ))
18482
 
18483
	.dataa(\always1~0 ),
18484
	.datab(vcc),
18485
	.datac(int_start),
18486
	.datad(int_start1),
18487
	.aclr(gnd),
18488
	.aload(gnd),
18489
	.clk(clk),
18490
	.cin(\vcnt_rtl_10|wysi_counter|counter_cell[5]~COUT ),
18491
	.cascin(vcc),
18492
	.devclrn(devclrn),
18493
	.devpor(devpor),
18494
	.combout(),
18495
	.regout(q_6),
18496
	.cout(\vcnt_rtl_10|wysi_counter|counter_cell[6]~COUT ),
18497
	.cascout());
18498
// synopsys translate_off
18499
defparam \vcnt_rtl_10|wysi_counter|counter_cell[6] .cin_used = "true";
18500
defparam \vcnt_rtl_10|wysi_counter|counter_cell[6] .clock_enable_mode = "false";
18501
defparam \vcnt_rtl_10|wysi_counter|counter_cell[6] .lut_mask = "6ca0";
18502
defparam \vcnt_rtl_10|wysi_counter|counter_cell[6] .operation_mode = "clrb_cntr";
18503
defparam \vcnt_rtl_10|wysi_counter|counter_cell[6] .output_mode = "reg_only";
18504
defparam \vcnt_rtl_10|wysi_counter|counter_cell[6] .packed_mode = "false";
18505
// synopsys translate_on
18506
 
18507
// atom is at LC2_I18
18508
flex10ke_lcell \vcnt_rtl_10|wysi_counter|counter_cell[1] (
18509
// Equation(s):
18510
// q_11 = DFFEA(((q_11 $ (\always1~0  & \vcnt_rtl_10|wysi_counter|counter_cell[0]~COUT ) & int_start1) # (int_start & !int_start1)) & VCC, GLOBAL(\fclk~dataout ), , , , , )
18511
// \vcnt_rtl_10|wysi_counter|counter_cell[1]~COUT  = CARRY(q_11 & (\vcnt_rtl_10|wysi_counter|counter_cell[0]~COUT ))
18512
 
18513
	.dataa(\always1~0 ),
18514
	.datab(vcc),
18515
	.datac(int_start),
18516
	.datad(int_start1),
18517
	.aclr(gnd),
18518
	.aload(gnd),
18519
	.clk(clk),
18520
	.cin(\vcnt_rtl_10|wysi_counter|counter_cell[0]~COUT ),
18521
	.cascin(vcc),
18522
	.devclrn(devclrn),
18523
	.devpor(devpor),
18524
	.combout(),
18525
	.regout(q_11),
18526
	.cout(\vcnt_rtl_10|wysi_counter|counter_cell[1]~COUT ),
18527
	.cascout());
18528
// synopsys translate_off
18529
defparam \vcnt_rtl_10|wysi_counter|counter_cell[1] .cin_used = "true";
18530
defparam \vcnt_rtl_10|wysi_counter|counter_cell[1] .clock_enable_mode = "false";
18531
defparam \vcnt_rtl_10|wysi_counter|counter_cell[1] .lut_mask = "6ca0";
18532
defparam \vcnt_rtl_10|wysi_counter|counter_cell[1] .operation_mode = "clrb_cntr";
18533
defparam \vcnt_rtl_10|wysi_counter|counter_cell[1] .output_mode = "reg_only";
18534
defparam \vcnt_rtl_10|wysi_counter|counter_cell[1] .packed_mode = "false";
18535
// synopsys translate_on
18536
 
18537
// atom is at LC1_I18
18538
flex10ke_lcell \vcnt_rtl_10|wysi_counter|counter_cell[0] (
18539
// Equation(s):
18540
// q_01 = DFFEA(((\always1~0  $ q_01 & int_start1) # (int_start & !int_start1)) & VCC, GLOBAL(\fclk~dataout ), , , , , )
18541
// \vcnt_rtl_10|wysi_counter|counter_cell[0]~COUT  = CARRY(q_01)
18542
 
18543
	.dataa(\always1~0 ),
18544
	.datab(vcc),
18545
	.datac(int_start),
18546
	.datad(int_start1),
18547
	.aclr(gnd),
18548
	.aload(gnd),
18549
	.clk(clk),
18550
	.cin(gnd),
18551
	.cascin(vcc),
18552
	.devclrn(devclrn),
18553
	.devpor(devpor),
18554
	.combout(),
18555
	.regout(q_01),
18556
	.cout(\vcnt_rtl_10|wysi_counter|counter_cell[0]~COUT ),
18557
	.cascout());
18558
// synopsys translate_off
18559
defparam \vcnt_rtl_10|wysi_counter|counter_cell[0] .clock_enable_mode = "false";
18560
defparam \vcnt_rtl_10|wysi_counter|counter_cell[0] .lut_mask = "66aa";
18561
defparam \vcnt_rtl_10|wysi_counter|counter_cell[0] .operation_mode = "clrb_cntr";
18562
defparam \vcnt_rtl_10|wysi_counter|counter_cell[0] .output_mode = "reg_only";
18563
defparam \vcnt_rtl_10|wysi_counter|counter_cell[0] .packed_mode = "false";
18564
// synopsys translate_on
18565
 
18566
// atom is at LC8_H13
18567
flex10ke_lcell \always1~0_I (
18568
// Equation(s):
18569
// \always1~0  = line_start & vpix
18570
 
18571
	.dataa(vcc),
18572
	.datab(vcc),
18573
	.datac(line_start),
18574
	.datad(vpix),
18575
	.aclr(gnd),
18576
	.aload(gnd),
18577
	.clk(gnd),
18578
	.cin(gnd),
18579
	.cascin(vcc),
18580
	.devclrn(devclrn),
18581
	.devpor(devpor),
18582
	.combout(\always1~0 ),
18583
	.regout(),
18584
	.cout(),
18585
	.cascout());
18586
// synopsys translate_off
18587
defparam \always1~0_I .clock_enable_mode = "false";
18588
defparam \always1~0_I .lut_mask = "f000";
18589
defparam \always1~0_I .operation_mode = "normal";
18590
defparam \always1~0_I .output_mode = "comb_only";
18591
defparam \always1~0_I .packed_mode = "false";
18592
// synopsys translate_on
18593
 
18594
// atom is at LC5_J4
18595
flex10ke_lcell \scnt[0]~I (
18596
// Equation(s):
18597
// scnt[0] = DFFEA(!\Equal0~42  & !scnt[0] & !\always1~0 , GLOBAL(\fclk~dataout ), , , cend, , )
18598
 
18599
	.dataa(cend),
18600
	.datab(\Equal0~42 ),
18601
	.datac(scnt[0]),
18602
	.datad(\always1~0 ),
18603
	.aclr(gnd),
18604
	.aload(gnd),
18605
	.clk(clk),
18606
	.cin(gnd),
18607
	.cascin(vcc),
18608
	.devclrn(devclrn),
18609
	.devpor(devpor),
18610
	.combout(),
18611
	.regout(scnt[0]),
18612
	.cout(),
18613
	.cascout());
18614
// synopsys translate_off
18615
defparam \scnt[0]~I .clock_enable_mode = "true";
18616
defparam \scnt[0]~I .lut_mask = "0003";
18617
defparam \scnt[0]~I .operation_mode = "normal";
18618
defparam \scnt[0]~I .output_mode = "reg_only";
18619
defparam \scnt[0]~I .packed_mode = "false";
18620
// synopsys translate_on
18621
 
18622
// atom is at LC4_J4
18623
flex10ke_lcell \Equal0~42_I (
18624
// Equation(s):
18625
// \Equal0~42  = !scnt[0] & !scnt[1] & \Equal0~41 
18626
 
18627
	.dataa(vcc),
18628
	.datab(scnt[0]),
18629
	.datac(scnt[1]),
18630
	.datad(\Equal0~41 ),
18631
	.aclr(gnd),
18632
	.aload(gnd),
18633
	.clk(gnd),
18634
	.cin(gnd),
18635
	.cascin(vcc),
18636
	.devclrn(devclrn),
18637
	.devpor(devpor),
18638
	.combout(\Equal0~42 ),
18639
	.regout(),
18640
	.cout(),
18641
	.cascout());
18642
// synopsys translate_off
18643
defparam \Equal0~42_I .clock_enable_mode = "false";
18644
defparam \Equal0~42_I .lut_mask = "0300";
18645
defparam \Equal0~42_I .operation_mode = "normal";
18646
defparam \Equal0~42_I .output_mode = "comb_only";
18647
defparam \Equal0~42_I .packed_mode = "false";
18648
// synopsys translate_on
18649
 
18650
// atom is at LC1_J10
18651
flex10ke_lcell \Add2|adder|result_node|cs_buffer[1]~I (
18652
// Equation(s):
18653
// \Add2|adder|result_node|cs_buffer [1] = scnt[0] $ scnt[1]
18654
// \Add2|adder|result_node|cout [1] = CARRY(scnt[0] # scnt[1])
18655
 
18656
	.dataa(scnt[0]),
18657
	.datab(scnt[1]),
18658
	.datac(vcc),
18659
	.datad(vcc),
18660
	.aclr(gnd),
18661
	.aload(gnd),
18662
	.clk(gnd),
18663
	.cin(gnd),
18664
	.cascin(vcc),
18665
	.devclrn(devclrn),
18666
	.devpor(devpor),
18667
	.combout(\Add2|adder|result_node|cs_buffer [1]),
18668
	.regout(),
18669
	.cout(\Add2|adder|result_node|cout [1]),
18670
	.cascout());
18671
// synopsys translate_off
18672
defparam \Add2|adder|result_node|cs_buffer[1]~I .clock_enable_mode = "false";
18673
defparam \Add2|adder|result_node|cs_buffer[1]~I .lut_mask = "66ee";
18674
defparam \Add2|adder|result_node|cs_buffer[1]~I .operation_mode = "arithmetic";
18675
defparam \Add2|adder|result_node|cs_buffer[1]~I .output_mode = "comb_only";
18676
defparam \Add2|adder|result_node|cs_buffer[1]~I .packed_mode = "false";
18677
// synopsys translate_on
18678
 
18679
// atom is at LC6_J4
18680
flex10ke_lcell \scnt[1]~I (
18681
// Equation(s):
18682
// scnt[1] = DFFEA(\always1~0  # !\Equal0~42  & !\Add2|adder|result_node|cs_buffer [1], GLOBAL(\fclk~dataout ), , , cend, , )
18683
 
18684
	.dataa(cend),
18685
	.datab(\Equal0~42 ),
18686
	.datac(\Add2|adder|result_node|cs_buffer [1]),
18687
	.datad(\always1~0 ),
18688
	.aclr(gnd),
18689
	.aload(gnd),
18690
	.clk(clk),
18691
	.cin(gnd),
18692
	.cascin(vcc),
18693
	.devclrn(devclrn),
18694
	.devpor(devpor),
18695
	.combout(),
18696
	.regout(scnt[1]),
18697
	.cout(),
18698
	.cascout());
18699
// synopsys translate_off
18700
defparam \scnt[1]~I .clock_enable_mode = "true";
18701
defparam \scnt[1]~I .lut_mask = "ff03";
18702
defparam \scnt[1]~I .operation_mode = "normal";
18703
defparam \scnt[1]~I .output_mode = "reg_only";
18704
defparam \scnt[1]~I .packed_mode = "false";
18705
// synopsys translate_on
18706
 
18707
// atom is at LC2_J10
18708
flex10ke_lcell \Add2|adder|result_node|cs_buffer[2]~I (
18709
// Equation(s):
18710
// \Add2|adder|result_node|cs_buffer [2] = scnt[2] $ \Add2|adder|result_node|cout [1]
18711
// \Add2|adder|result_node|cout [2] = CARRY(scnt[2] # \Add2|adder|result_node|cout [1])
18712
 
18713
	.dataa(vcc),
18714
	.datab(scnt[2]),
18715
	.datac(vcc),
18716
	.datad(vcc),
18717
	.aclr(gnd),
18718
	.aload(gnd),
18719
	.clk(gnd),
18720
	.cin(\Add2|adder|result_node|cout [1]),
18721
	.cascin(vcc),
18722
	.devclrn(devclrn),
18723
	.devpor(devpor),
18724
	.combout(\Add2|adder|result_node|cs_buffer [2]),
18725
	.regout(),
18726
	.cout(\Add2|adder|result_node|cout [2]),
18727
	.cascout());
18728
// synopsys translate_off
18729
defparam \Add2|adder|result_node|cs_buffer[2]~I .cin_used = "true";
18730
defparam \Add2|adder|result_node|cs_buffer[2]~I .clock_enable_mode = "false";
18731
defparam \Add2|adder|result_node|cs_buffer[2]~I .lut_mask = "3cfc";
18732
defparam \Add2|adder|result_node|cs_buffer[2]~I .operation_mode = "arithmetic";
18733
defparam \Add2|adder|result_node|cs_buffer[2]~I .output_mode = "comb_only";
18734
defparam \Add2|adder|result_node|cs_buffer[2]~I .packed_mode = "false";
18735
// synopsys translate_on
18736
 
18737
// atom is at LC8_J10
18738
flex10ke_lcell \scnt[2]~I (
18739
// Equation(s):
18740
// scnt[2] = DFFEA(!\Add2|adder|result_node|cs_buffer [2] & !\Equal0~42  & !\always1~0 , GLOBAL(\fclk~dataout ), , , cend, , )
18741
 
18742
	.dataa(cend),
18743
	.datab(\Add2|adder|result_node|cs_buffer [2]),
18744
	.datac(\Equal0~42 ),
18745
	.datad(\always1~0 ),
18746
	.aclr(gnd),
18747
	.aload(gnd),
18748
	.clk(clk),
18749
	.cin(gnd),
18750
	.cascin(vcc),
18751
	.devclrn(devclrn),
18752
	.devpor(devpor),
18753
	.combout(),
18754
	.regout(scnt[2]),
18755
	.cout(),
18756
	.cascout());
18757
// synopsys translate_off
18758
defparam \scnt[2]~I .clock_enable_mode = "true";
18759
defparam \scnt[2]~I .lut_mask = "0003";
18760
defparam \scnt[2]~I .operation_mode = "normal";
18761
defparam \scnt[2]~I .output_mode = "reg_only";
18762
defparam \scnt[2]~I .packed_mode = "false";
18763
// synopsys translate_on
18764
 
18765
// atom is at LC3_J10
18766
flex10ke_lcell \Add2|adder|result_node|cs_buffer[3]~I (
18767
// Equation(s):
18768
// \Add2|adder|result_node|cs_buffer [3] = scnt[3] $ \Add2|adder|result_node|cout [2]
18769
// \Add2|adder|result_node|cout [3] = CARRY(scnt[3] # \Add2|adder|result_node|cout [2])
18770
 
18771
	.dataa(vcc),
18772
	.datab(scnt[3]),
18773
	.datac(vcc),
18774
	.datad(vcc),
18775
	.aclr(gnd),
18776
	.aload(gnd),
18777
	.clk(gnd),
18778
	.cin(\Add2|adder|result_node|cout [2]),
18779
	.cascin(vcc),
18780
	.devclrn(devclrn),
18781
	.devpor(devpor),
18782
	.combout(\Add2|adder|result_node|cs_buffer [3]),
18783
	.regout(),
18784
	.cout(\Add2|adder|result_node|cout [3]),
18785
	.cascout());
18786
// synopsys translate_off
18787
defparam \Add2|adder|result_node|cs_buffer[3]~I .cin_used = "true";
18788
defparam \Add2|adder|result_node|cs_buffer[3]~I .clock_enable_mode = "false";
18789
defparam \Add2|adder|result_node|cs_buffer[3]~I .lut_mask = "3cfc";
18790
defparam \Add2|adder|result_node|cs_buffer[3]~I .operation_mode = "arithmetic";
18791
defparam \Add2|adder|result_node|cs_buffer[3]~I .output_mode = "comb_only";
18792
defparam \Add2|adder|result_node|cs_buffer[3]~I .packed_mode = "false";
18793
// synopsys translate_on
18794
 
18795
// atom is at LC7_J10
18796
flex10ke_lcell \scnt[3]~I (
18797
// Equation(s):
18798
// scnt[3] = DFFEA(!\Add2|adder|result_node|cs_buffer [3] & !\Equal0~42  & !\always1~0 , GLOBAL(\fclk~dataout ), , , cend, , )
18799
 
18800
	.dataa(cend),
18801
	.datab(\Add2|adder|result_node|cs_buffer [3]),
18802
	.datac(\Equal0~42 ),
18803
	.datad(\always1~0 ),
18804
	.aclr(gnd),
18805
	.aload(gnd),
18806
	.clk(clk),
18807
	.cin(gnd),
18808
	.cascin(vcc),
18809
	.devclrn(devclrn),
18810
	.devpor(devpor),
18811
	.combout(),
18812
	.regout(scnt[3]),
18813
	.cout(),
18814
	.cascout());
18815
// synopsys translate_off
18816
defparam \scnt[3]~I .clock_enable_mode = "true";
18817
defparam \scnt[3]~I .lut_mask = "0003";
18818
defparam \scnt[3]~I .operation_mode = "normal";
18819
defparam \scnt[3]~I .output_mode = "reg_only";
18820
defparam \scnt[3]~I .packed_mode = "false";
18821
// synopsys translate_on
18822
 
18823
// atom is at LC4_J10
18824
flex10ke_lcell \Add2|adder|result_node|cs_buffer[4]~I (
18825
// Equation(s):
18826
// \Add2|adder|result_node|cs_buffer [4] = scnt[4] $ \Add2|adder|result_node|cout [3]
18827
// \Add2|adder|result_node|cout [4] = CARRY(scnt[4] # \Add2|adder|result_node|cout [3])
18828
 
18829
	.dataa(vcc),
18830
	.datab(scnt[4]),
18831
	.datac(vcc),
18832
	.datad(vcc),
18833
	.aclr(gnd),
18834
	.aload(gnd),
18835
	.clk(gnd),
18836
	.cin(\Add2|adder|result_node|cout [3]),
18837
	.cascin(vcc),
18838
	.devclrn(devclrn),
18839
	.devpor(devpor),
18840
	.combout(\Add2|adder|result_node|cs_buffer [4]),
18841
	.regout(),
18842
	.cout(\Add2|adder|result_node|cout [4]),
18843
	.cascout());
18844
// synopsys translate_off
18845
defparam \Add2|adder|result_node|cs_buffer[4]~I .cin_used = "true";
18846
defparam \Add2|adder|result_node|cs_buffer[4]~I .clock_enable_mode = "false";
18847
defparam \Add2|adder|result_node|cs_buffer[4]~I .lut_mask = "3cfc";
18848
defparam \Add2|adder|result_node|cs_buffer[4]~I .operation_mode = "arithmetic";
18849
defparam \Add2|adder|result_node|cs_buffer[4]~I .output_mode = "comb_only";
18850
defparam \Add2|adder|result_node|cs_buffer[4]~I .packed_mode = "false";
18851
// synopsys translate_on
18852
 
18853
// atom is at LC8_J4
18854
flex10ke_lcell \scnt[4]~I (
18855
// Equation(s):
18856
// scnt[4] = DFFEA(!\Equal0~42  & !\always1~0  & !\Add2|adder|result_node|cs_buffer [4], GLOBAL(\fclk~dataout ), , , cend, , )
18857
 
18858
	.dataa(cend),
18859
	.datab(\Equal0~42 ),
18860
	.datac(\always1~0 ),
18861
	.datad(\Add2|adder|result_node|cs_buffer [4]),
18862
	.aclr(gnd),
18863
	.aload(gnd),
18864
	.clk(clk),
18865
	.cin(gnd),
18866
	.cascin(vcc),
18867
	.devclrn(devclrn),
18868
	.devpor(devpor),
18869
	.combout(),
18870
	.regout(scnt[4]),
18871
	.cout(),
18872
	.cascout());
18873
// synopsys translate_off
18874
defparam \scnt[4]~I .clock_enable_mode = "true";
18875
defparam \scnt[4]~I .lut_mask = "0003";
18876
defparam \scnt[4]~I .operation_mode = "normal";
18877
defparam \scnt[4]~I .output_mode = "reg_only";
18878
defparam \scnt[4]~I .packed_mode = "false";
18879
// synopsys translate_on
18880
 
18881
// atom is at LC5_J10
18882
flex10ke_lcell \Add2|adder|unreg_res_node[5]~I (
18883
// Equation(s):
18884
// \Add2|adder|unreg_res_node [5] = \Add2|adder|result_node|cout [4] $ scnt[5]
18885
 
18886
	.dataa(vcc),
18887
	.datab(vcc),
18888
	.datac(vcc),
18889
	.datad(scnt[5]),
18890
	.aclr(gnd),
18891
	.aload(gnd),
18892
	.clk(gnd),
18893
	.cin(\Add2|adder|result_node|cout [4]),
18894
	.cascin(vcc),
18895
	.devclrn(devclrn),
18896
	.devpor(devpor),
18897
	.combout(\Add2|adder|unreg_res_node [5]),
18898
	.regout(),
18899
	.cout(),
18900
	.cascout());
18901
// synopsys translate_off
18902
defparam \Add2|adder|unreg_res_node[5]~I .cin_used = "true";
18903
defparam \Add2|adder|unreg_res_node[5]~I .clock_enable_mode = "false";
18904
defparam \Add2|adder|unreg_res_node[5]~I .lut_mask = "0ff0";
18905
defparam \Add2|adder|unreg_res_node[5]~I .operation_mode = "normal";
18906
defparam \Add2|adder|unreg_res_node[5]~I .output_mode = "comb_only";
18907
defparam \Add2|adder|unreg_res_node[5]~I .packed_mode = "false";
18908
// synopsys translate_on
18909
 
18910
// atom is at LC1_J4
18911
flex10ke_lcell \scnt[5]~I (
18912
// Equation(s):
18913
// scnt[5] = DFFEA(\always1~0  # !\Add2|adder|unreg_res_node [5] & !\Equal0~42 , GLOBAL(\fclk~dataout ), , , cend, , )
18914
 
18915
	.dataa(cend),
18916
	.datab(\Add2|adder|unreg_res_node [5]),
18917
	.datac(\Equal0~42 ),
18918
	.datad(\always1~0 ),
18919
	.aclr(gnd),
18920
	.aload(gnd),
18921
	.clk(clk),
18922
	.cin(gnd),
18923
	.cascin(vcc),
18924
	.devclrn(devclrn),
18925
	.devpor(devpor),
18926
	.combout(),
18927
	.regout(scnt[5]),
18928
	.cout(),
18929
	.cascout());
18930
// synopsys translate_off
18931
defparam \scnt[5]~I .clock_enable_mode = "true";
18932
defparam \scnt[5]~I .lut_mask = "ff03";
18933
defparam \scnt[5]~I .operation_mode = "normal";
18934
defparam \scnt[5]~I .output_mode = "reg_only";
18935
defparam \scnt[5]~I .packed_mode = "false";
18936
// synopsys translate_on
18937
 
18938
// atom is at LC6_J10
18939
flex10ke_lcell \Equal0~41_I (
18940
// Equation(s):
18941
// \Equal0~41  = !scnt[2] & !scnt[3] & !scnt[4] & !scnt[5]
18942
 
18943
	.dataa(scnt[2]),
18944
	.datab(scnt[3]),
18945
	.datac(scnt[4]),
18946
	.datad(scnt[5]),
18947
	.aclr(gnd),
18948
	.aload(gnd),
18949
	.clk(gnd),
18950
	.cin(gnd),
18951
	.cascin(vcc),
18952
	.devclrn(devclrn),
18953
	.devpor(devpor),
18954
	.combout(\Equal0~41 ),
18955
	.regout(),
18956
	.cout(),
18957
	.cascout());
18958
// synopsys translate_off
18959
defparam \Equal0~41_I .clock_enable_mode = "false";
18960
defparam \Equal0~41_I .lut_mask = "0001";
18961
defparam \Equal0~41_I .operation_mode = "normal";
18962
defparam \Equal0~41_I .output_mode = "comb_only";
18963
defparam \Equal0~41_I .packed_mode = "false";
18964
// synopsys translate_on
18965
 
18966
// atom is at LC3_J4
18967
flex10ke_lcell \wcnt_rtl_9|wysi_counter|counter_cell[3]~1_I (
18968
// Equation(s):
18969
// \wcnt_rtl_9|wysi_counter|counter_cell[3]~1  = scnt[1] # !cend # !\Equal0~41  # !scnt[0]
18970
 
18971
	.dataa(scnt[0]),
18972
	.datab(\Equal0~41 ),
18973
	.datac(cend),
18974
	.datad(scnt[1]),
18975
	.aclr(gnd),
18976
	.aload(gnd),
18977
	.clk(gnd),
18978
	.cin(gnd),
18979
	.cascin(vcc),
18980
	.devclrn(devclrn),
18981
	.devpor(devpor),
18982
	.combout(\wcnt_rtl_9|wysi_counter|counter_cell[3]~1 ),
18983
	.regout(),
18984
	.cout(),
18985
	.cascout());
18986
// synopsys translate_off
18987
defparam \wcnt_rtl_9|wysi_counter|counter_cell[3]~1_I .clock_enable_mode = "false";
18988
defparam \wcnt_rtl_9|wysi_counter|counter_cell[3]~1_I .lut_mask = "ff7f";
18989
defparam \wcnt_rtl_9|wysi_counter|counter_cell[3]~1_I .operation_mode = "normal";
18990
defparam \wcnt_rtl_9|wysi_counter|counter_cell[3]~1_I .output_mode = "comb_only";
18991
defparam \wcnt_rtl_9|wysi_counter|counter_cell[3]~1_I .packed_mode = "false";
18992
// synopsys translate_on
18993
 
18994
// atom is at LC1_I2
18995
flex10ke_lcell \wcnt_rtl_9|wysi_counter|counter_cell[0] (
18996
// Equation(s):
18997
// \wcnt_rtl_9|wysi_counter|q [0] = DFFEA((cend $ \wcnt_rtl_9|wysi_counter|q [0]) & \wcnt_rtl_9|wysi_counter|counter_cell[3]~1 , GLOBAL(\fclk~dataout ), , , cend, , )
18998
// \wcnt_rtl_9|wysi_counter|counter_cell[0]~COUT  = CARRY(\wcnt_rtl_9|wysi_counter|q [0])
18999
 
19000
	.dataa(cend),
19001
	.datab(\wcnt_rtl_9|wysi_counter|counter_cell[3]~1 ),
19002
	.datac(vcc),
19003
	.datad(vcc),
19004
	.aclr(gnd),
19005
	.aload(gnd),
19006
	.clk(clk),
19007
	.cin(gnd),
19008
	.cascin(vcc),
19009
	.devclrn(devclrn),
19010
	.devpor(devpor),
19011
	.combout(),
19012
	.regout(\wcnt_rtl_9|wysi_counter|q [0]),
19013
	.cout(\wcnt_rtl_9|wysi_counter|counter_cell[0]~COUT ),
19014
	.cascout());
19015
// synopsys translate_off
19016
defparam \wcnt_rtl_9|wysi_counter|counter_cell[0] .clock_enable_mode = "true";
19017
defparam \wcnt_rtl_9|wysi_counter|counter_cell[0] .lut_mask = "66aa";
19018
defparam \wcnt_rtl_9|wysi_counter|counter_cell[0] .operation_mode = "clrb_cntr";
19019
defparam \wcnt_rtl_9|wysi_counter|counter_cell[0] .output_mode = "reg_only";
19020
defparam \wcnt_rtl_9|wysi_counter|counter_cell[0] .packed_mode = "false";
19021
// synopsys translate_on
19022
 
19023
// atom is at LC2_I2
19024
flex10ke_lcell \wcnt_rtl_9|wysi_counter|counter_cell[1] (
19025
// Equation(s):
19026
// \wcnt_rtl_9|wysi_counter|q [1] = DFFEA((\wcnt_rtl_9|wysi_counter|q [1] $ (cend & \wcnt_rtl_9|wysi_counter|counter_cell[0]~COUT )) & \wcnt_rtl_9|wysi_counter|counter_cell[3]~1 , GLOBAL(\fclk~dataout ), , , cend, , )
19027
// \wcnt_rtl_9|wysi_counter|counter_cell[1]~COUT  = CARRY(\wcnt_rtl_9|wysi_counter|q [1] & (\wcnt_rtl_9|wysi_counter|counter_cell[0]~COUT ))
19028
 
19029
	.dataa(cend),
19030
	.datab(\wcnt_rtl_9|wysi_counter|counter_cell[3]~1 ),
19031
	.datac(vcc),
19032
	.datad(vcc),
19033
	.aclr(gnd),
19034
	.aload(gnd),
19035
	.clk(clk),
19036
	.cin(\wcnt_rtl_9|wysi_counter|counter_cell[0]~COUT ),
19037
	.cascin(vcc),
19038
	.devclrn(devclrn),
19039
	.devpor(devpor),
19040
	.combout(),
19041
	.regout(\wcnt_rtl_9|wysi_counter|q [1]),
19042
	.cout(\wcnt_rtl_9|wysi_counter|counter_cell[1]~COUT ),
19043
	.cascout());
19044
// synopsys translate_off
19045
defparam \wcnt_rtl_9|wysi_counter|counter_cell[1] .cin_used = "true";
19046
defparam \wcnt_rtl_9|wysi_counter|counter_cell[1] .clock_enable_mode = "true";
19047
defparam \wcnt_rtl_9|wysi_counter|counter_cell[1] .lut_mask = "6ca0";
19048
defparam \wcnt_rtl_9|wysi_counter|counter_cell[1] .operation_mode = "clrb_cntr";
19049
defparam \wcnt_rtl_9|wysi_counter|counter_cell[1] .output_mode = "reg_only";
19050
defparam \wcnt_rtl_9|wysi_counter|counter_cell[1] .packed_mode = "false";
19051
// synopsys translate_on
19052
 
19053
// atom is at LC3_I2
19054
flex10ke_lcell \wcnt_rtl_9|wysi_counter|counter_cell[2] (
19055
// Equation(s):
19056
// \wcnt_rtl_9|wysi_counter|q [2] = DFFEA((\wcnt_rtl_9|wysi_counter|q [2] $ (cend & \wcnt_rtl_9|wysi_counter|counter_cell[1]~COUT )) & \wcnt_rtl_9|wysi_counter|counter_cell[3]~1 , GLOBAL(\fclk~dataout ), , , cend, , )
19057
// \wcnt_rtl_9|wysi_counter|counter_cell[2]~COUT  = CARRY(\wcnt_rtl_9|wysi_counter|q [2] & (\wcnt_rtl_9|wysi_counter|counter_cell[1]~COUT ))
19058
 
19059
	.dataa(cend),
19060
	.datab(\wcnt_rtl_9|wysi_counter|counter_cell[3]~1 ),
19061
	.datac(vcc),
19062
	.datad(vcc),
19063
	.aclr(gnd),
19064
	.aload(gnd),
19065
	.clk(clk),
19066
	.cin(\wcnt_rtl_9|wysi_counter|counter_cell[1]~COUT ),
19067
	.cascin(vcc),
19068
	.devclrn(devclrn),
19069
	.devpor(devpor),
19070
	.combout(),
19071
	.regout(\wcnt_rtl_9|wysi_counter|q [2]),
19072
	.cout(\wcnt_rtl_9|wysi_counter|counter_cell[2]~COUT ),
19073
	.cascout());
19074
// synopsys translate_off
19075
defparam \wcnt_rtl_9|wysi_counter|counter_cell[2] .cin_used = "true";
19076
defparam \wcnt_rtl_9|wysi_counter|counter_cell[2] .clock_enable_mode = "true";
19077
defparam \wcnt_rtl_9|wysi_counter|counter_cell[2] .lut_mask = "6ca0";
19078
defparam \wcnt_rtl_9|wysi_counter|counter_cell[2] .operation_mode = "clrb_cntr";
19079
defparam \wcnt_rtl_9|wysi_counter|counter_cell[2] .output_mode = "reg_only";
19080
defparam \wcnt_rtl_9|wysi_counter|counter_cell[2] .packed_mode = "false";
19081
// synopsys translate_on
19082
 
19083
// atom is at LC4_I2
19084
flex10ke_lcell \wcnt_rtl_9|wysi_counter|counter_cell[3] (
19085
// Equation(s):
19086
// \wcnt_rtl_9|wysi_counter|q [3] = DFFEA((\wcnt_rtl_9|wysi_counter|q [3] $ (cend & \wcnt_rtl_9|wysi_counter|counter_cell[2]~COUT )) & \wcnt_rtl_9|wysi_counter|counter_cell[3]~1 , GLOBAL(\fclk~dataout ), , , cend, , )
19087
 
19088
	.dataa(cend),
19089
	.datab(\wcnt_rtl_9|wysi_counter|counter_cell[3]~1 ),
19090
	.datac(vcc),
19091
	.datad(vcc),
19092
	.aclr(gnd),
19093
	.aload(gnd),
19094
	.clk(clk),
19095
	.cin(\wcnt_rtl_9|wysi_counter|counter_cell[2]~COUT ),
19096
	.cascin(vcc),
19097
	.devclrn(devclrn),
19098
	.devpor(devpor),
19099
	.combout(),
19100
	.regout(\wcnt_rtl_9|wysi_counter|q [3]),
19101
	.cout(),
19102
	.cascout());
19103
// synopsys translate_off
19104
defparam \wcnt_rtl_9|wysi_counter|counter_cell[3] .cin_used = "true";
19105
defparam \wcnt_rtl_9|wysi_counter|counter_cell[3] .clock_enable_mode = "true";
19106
defparam \wcnt_rtl_9|wysi_counter|counter_cell[3] .lut_mask = "6c6c";
19107
defparam \wcnt_rtl_9|wysi_counter|counter_cell[3] .operation_mode = "clrb_cntr";
19108
defparam \wcnt_rtl_9|wysi_counter|counter_cell[3] .output_mode = "reg_only";
19109
defparam \wcnt_rtl_9|wysi_counter|counter_cell[3] .packed_mode = "false";
19110
// synopsys translate_on
19111
 
19112
// atom is at LC2_J4
19113
flex10ke_lcell \go_start~I (
19114
// Equation(s):
19115
// go_start = scnt[1] # !\Equal0~41  # !cend # !scnt[0]
19116
 
19117
	.dataa(scnt[1]),
19118
	.datab(scnt[0]),
19119
	.datac(cend),
19120
	.datad(\Equal0~41 ),
19121
	.aclr(gnd),
19122
	.aload(gnd),
19123
	.clk(gnd),
19124
	.cin(gnd),
19125
	.cascin(vcc),
19126
	.devclrn(devclrn),
19127
	.devpor(devpor),
19128
	.combout(go_start),
19129
	.regout(),
19130
	.cout(),
19131
	.cascout());
19132
// synopsys translate_off
19133
defparam \go_start~I .clock_enable_mode = "false";
19134
defparam \go_start~I .lut_mask = "bfff";
19135
defparam \go_start~I .operation_mode = "normal";
19136
defparam \go_start~I .output_mode = "comb_only";
19137
defparam \go_start~I .packed_mode = "false";
19138
// synopsys translate_on
19139
 
19140
// atom is at LC3_I14
19141
flex10ke_lcell \fcnt_rtl_8|wysi_counter|counter_cell[0] (
19142
// Equation(s):
19143
// \fcnt_rtl_8|wysi_counter|counter_cell[0]~COUT  = CARRY()
19144
 
19145
	.dataa(\always4~0 ),
19146
	.datab(go_start),
19147
	.datac(vcc),
19148
	.datad(vcc),
19149
	.aclr(gnd),
19150
	.aload(gnd),
19151
	.clk(clk),
19152
	.cin(gnd),
19153
	.cascin(vcc),
19154
	.devclrn(devclrn),
19155
	.devpor(devpor),
19156
	.combout(),
19157
	.regout(\fcnt_rtl_8|wysi_counter|q [0]),
19158
	.cout(\fcnt_rtl_8|wysi_counter|counter_cell[0]~COUT ),
19159
	.cascout());
19160
// synopsys translate_off
19161
defparam \fcnt_rtl_8|wysi_counter|counter_cell[0] .clock_enable_mode = "false";
19162
defparam \fcnt_rtl_8|wysi_counter|counter_cell[0] .lut_mask = "66aa";
19163
defparam \fcnt_rtl_8|wysi_counter|counter_cell[0] .operation_mode = "clrb_cntr";
19164
defparam \fcnt_rtl_8|wysi_counter|counter_cell[0] .output_mode = "none";
19165
defparam \fcnt_rtl_8|wysi_counter|counter_cell[0] .packed_mode = "false";
19166
// synopsys translate_on
19167
 
19168
// atom is at LC4_I14
19169
flex10ke_lcell \fcnt_rtl_8|wysi_counter|counter_cell[1] (
19170
// Equation(s):
19171
// \fcnt_rtl_8|wysi_counter|counter_cell[1]~COUT  = CARRY( & (\fcnt_rtl_8|wysi_counter|counter_cell[0]~COUT ))
19172
 
19173
	.dataa(\always4~0 ),
19174
	.datab(go_start),
19175
	.datac(vcc),
19176
	.datad(vcc),
19177
	.aclr(gnd),
19178
	.aload(gnd),
19179
	.clk(clk),
19180
	.cin(\fcnt_rtl_8|wysi_counter|counter_cell[0]~COUT ),
19181
	.cascin(vcc),
19182
	.devclrn(devclrn),
19183
	.devpor(devpor),
19184
	.combout(),
19185
	.regout(\fcnt_rtl_8|wysi_counter|q [1]),
19186
	.cout(\fcnt_rtl_8|wysi_counter|counter_cell[1]~COUT ),
19187
	.cascout());
19188
// synopsys translate_off
19189
defparam \fcnt_rtl_8|wysi_counter|counter_cell[1] .cin_used = "true";
19190
defparam \fcnt_rtl_8|wysi_counter|counter_cell[1] .clock_enable_mode = "false";
19191
defparam \fcnt_rtl_8|wysi_counter|counter_cell[1] .lut_mask = "6ca0";
19192
defparam \fcnt_rtl_8|wysi_counter|counter_cell[1] .operation_mode = "clrb_cntr";
19193
defparam \fcnt_rtl_8|wysi_counter|counter_cell[1] .output_mode = "none";
19194
defparam \fcnt_rtl_8|wysi_counter|counter_cell[1] .packed_mode = "false";
19195
// synopsys translate_on
19196
 
19197
// atom is at LC5_I14
19198
flex10ke_lcell \fcnt_rtl_8|wysi_counter|counter_cell[2] (
19199
// Equation(s):
19200
// \fcnt_rtl_8|wysi_counter|counter_cell[2]~COUT  = CARRY( & (\fcnt_rtl_8|wysi_counter|counter_cell[1]~COUT ))
19201
 
19202
	.dataa(\always4~0 ),
19203
	.datab(go_start),
19204
	.datac(vcc),
19205
	.datad(vcc),
19206
	.aclr(gnd),
19207
	.aload(gnd),
19208
	.clk(clk),
19209
	.cin(\fcnt_rtl_8|wysi_counter|counter_cell[1]~COUT ),
19210
	.cascin(vcc),
19211
	.devclrn(devclrn),
19212
	.devpor(devpor),
19213
	.combout(),
19214
	.regout(\fcnt_rtl_8|wysi_counter|q [2]),
19215
	.cout(\fcnt_rtl_8|wysi_counter|counter_cell[2]~COUT ),
19216
	.cascout());
19217
// synopsys translate_off
19218
defparam \fcnt_rtl_8|wysi_counter|counter_cell[2] .cin_used = "true";
19219
defparam \fcnt_rtl_8|wysi_counter|counter_cell[2] .clock_enable_mode = "false";
19220
defparam \fcnt_rtl_8|wysi_counter|counter_cell[2] .lut_mask = "6ca0";
19221
defparam \fcnt_rtl_8|wysi_counter|counter_cell[2] .operation_mode = "clrb_cntr";
19222
defparam \fcnt_rtl_8|wysi_counter|counter_cell[2] .output_mode = "none";
19223
defparam \fcnt_rtl_8|wysi_counter|counter_cell[2] .packed_mode = "false";
19224
// synopsys translate_on
19225
 
19226
// atom is at LC6_I14
19227
flex10ke_lcell \fcnt_rtl_8|wysi_counter|counter_cell[3] (
19228
// Equation(s):
19229
// \fcnt_rtl_8|wysi_counter|counter_cell[3]~COUT  = CARRY( & (\fcnt_rtl_8|wysi_counter|counter_cell[2]~COUT ))
19230
 
19231
	.dataa(\always4~0 ),
19232
	.datab(go_start),
19233
	.datac(vcc),
19234
	.datad(vcc),
19235
	.aclr(gnd),
19236
	.aload(gnd),
19237
	.clk(clk),
19238
	.cin(\fcnt_rtl_8|wysi_counter|counter_cell[2]~COUT ),
19239
	.cascin(vcc),
19240
	.devclrn(devclrn),
19241
	.devpor(devpor),
19242
	.combout(),
19243
	.regout(\fcnt_rtl_8|wysi_counter|q [3]),
19244
	.cout(\fcnt_rtl_8|wysi_counter|counter_cell[3]~COUT ),
19245
	.cascout());
19246
// synopsys translate_off
19247
defparam \fcnt_rtl_8|wysi_counter|counter_cell[3] .cin_used = "true";
19248
defparam \fcnt_rtl_8|wysi_counter|counter_cell[3] .clock_enable_mode = "false";
19249
defparam \fcnt_rtl_8|wysi_counter|counter_cell[3] .lut_mask = "6ca0";
19250
defparam \fcnt_rtl_8|wysi_counter|counter_cell[3] .operation_mode = "clrb_cntr";
19251
defparam \fcnt_rtl_8|wysi_counter|counter_cell[3] .output_mode = "none";
19252
defparam \fcnt_rtl_8|wysi_counter|counter_cell[3] .packed_mode = "false";
19253
// synopsys translate_on
19254
 
19255
// atom is at LC7_I14
19256
flex10ke_lcell \fcnt_rtl_8|wysi_counter|counter_cell[4] (
19257
// Equation(s):
19258
// \fcnt_rtl_8|wysi_counter|q [4] = DFFEA((\fcnt_rtl_8|wysi_counter|q [4] $ (\always4~0  & \fcnt_rtl_8|wysi_counter|counter_cell[3]~COUT )) & go_start, GLOBAL(\fclk~dataout ), , , , , )
19259
 
19260
	.dataa(\always4~0 ),
19261
	.datab(go_start),
19262
	.datac(vcc),
19263
	.datad(vcc),
19264
	.aclr(gnd),
19265
	.aload(gnd),
19266
	.clk(clk),
19267
	.cin(\fcnt_rtl_8|wysi_counter|counter_cell[3]~COUT ),
19268
	.cascin(vcc),
19269
	.devclrn(devclrn),
19270
	.devpor(devpor),
19271
	.combout(),
19272
	.regout(\fcnt_rtl_8|wysi_counter|q [4]),
19273
	.cout(),
19274
	.cascout());
19275
// synopsys translate_off
19276
defparam \fcnt_rtl_8|wysi_counter|counter_cell[4] .cin_used = "true";
19277
defparam \fcnt_rtl_8|wysi_counter|counter_cell[4] .clock_enable_mode = "false";
19278
defparam \fcnt_rtl_8|wysi_counter|counter_cell[4] .lut_mask = "6c6c";
19279
defparam \fcnt_rtl_8|wysi_counter|counter_cell[4] .operation_mode = "clrb_cntr";
19280
defparam \fcnt_rtl_8|wysi_counter|counter_cell[4] .output_mode = "reg_only";
19281
defparam \fcnt_rtl_8|wysi_counter|counter_cell[4] .packed_mode = "false";
19282
// synopsys translate_on
19283
 
19284
// atom is at LC7_I2
19285
flex10ke_lcell \go~68_I (
19286
// Equation(s):
19287
// \go~68  = !\fcnt_rtl_8|wysi_counter|q [4] # !\wcnt_rtl_9|wysi_counter|q [3] # !cend # !\wcnt_rtl_9|wysi_counter|q [0]
19288
 
19289
	.dataa(\wcnt_rtl_9|wysi_counter|q [0]),
19290
	.datab(cend),
19291
	.datac(\wcnt_rtl_9|wysi_counter|q [3]),
19292
	.datad(\fcnt_rtl_8|wysi_counter|q [4]),
19293
	.aclr(gnd),
19294
	.aload(gnd),
19295
	.clk(gnd),
19296
	.cin(gnd),
19297
	.cascin(vcc),
19298
	.devclrn(devclrn),
19299
	.devpor(devpor),
19300
	.combout(\go~68 ),
19301
	.regout(),
19302
	.cout(),
19303
	.cascout());
19304
// synopsys translate_off
19305
defparam \go~68_I .clock_enable_mode = "false";
19306
defparam \go~68_I .lut_mask = "7fff";
19307
defparam \go~68_I .operation_mode = "normal";
19308
defparam \go~68_I .output_mode = "comb_only";
19309
defparam \go~68_I .packed_mode = "false";
19310
// synopsys translate_on
19311
 
19312
// atom is at LC5_I2
19313
flex10ke_lcell \go~69_I (
19314
// Equation(s):
19315
// \go~69  = \go~68  # !\wcnt_rtl_9|wysi_counter|q [2] # !\wcnt_rtl_9|wysi_counter|q [1]
19316
 
19317
	.dataa(vcc),
19318
	.datab(\wcnt_rtl_9|wysi_counter|q [1]),
19319
	.datac(\wcnt_rtl_9|wysi_counter|q [2]),
19320
	.datad(\go~68 ),
19321
	.aclr(gnd),
19322
	.aload(gnd),
19323
	.clk(gnd),
19324
	.cin(gnd),
19325
	.cascin(vcc),
19326
	.devclrn(devclrn),
19327
	.devpor(devpor),
19328
	.combout(\go~69 ),
19329
	.regout(),
19330
	.cout(),
19331
	.cascout());
19332
// synopsys translate_off
19333
defparam \go~69_I .clock_enable_mode = "false";
19334
defparam \go~69_I .lut_mask = "ff3f";
19335
defparam \go~69_I .operation_mode = "normal";
19336
defparam \go~69_I .output_mode = "comb_only";
19337
defparam \go~69_I .packed_mode = "false";
19338
// synopsys translate_on
19339
 
19340
// atom is at LC8_G3
19341
flex10ke_lcell \fbuf[3][7]~I (
19342
// Equation(s):
19343
// \fbuf[3][7]  = DFFEA(rddata_7, GLOBAL(\fclk~dataout ), , , \fbuf[3][14]~416 , , )
19344
 
19345
	.dataa(\fbuf[3][14]~416 ),
19346
	.datab(vcc),
19347
	.datac(vcc),
19348
	.datad(rddata_7),
19349
	.aclr(gnd),
19350
	.aload(gnd),
19351
	.clk(clk),
19352
	.cin(gnd),
19353
	.cascin(vcc),
19354
	.devclrn(devclrn),
19355
	.devpor(devpor),
19356
	.combout(),
19357
	.regout(\fbuf[3][7] ),
19358
	.cout(),
19359
	.cascout());
19360
// synopsys translate_off
19361
defparam \fbuf[3][7]~I .clock_enable_mode = "true";
19362
defparam \fbuf[3][7]~I .lut_mask = "ff00";
19363
defparam \fbuf[3][7]~I .operation_mode = "normal";
19364
defparam \fbuf[3][7]~I .output_mode = "reg_only";
19365
defparam \fbuf[3][7]~I .packed_mode = "false";
19366
// synopsys translate_on
19367
 
19368
// atom is at LC4_G3
19369
flex10ke_lcell \shift[7][7]~I (
19370
// Equation(s):
19371
// \shift[7][7]  = DFFEA(\fbuf[3][7] , GLOBAL(\fclk~dataout ), , , wordsync, , )
19372
 
19373
	.dataa(wordsync),
19374
	.datab(vcc),
19375
	.datac(vcc),
19376
	.datad(\fbuf[3][7] ),
19377
	.aclr(gnd),
19378
	.aload(gnd),
19379
	.clk(clk),
19380
	.cin(gnd),
19381
	.cascin(vcc),
19382
	.devclrn(devclrn),
19383
	.devpor(devpor),
19384
	.combout(),
19385
	.regout(\shift[7][7] ),
19386
	.cout(),
19387
	.cascout());
19388
// synopsys translate_off
19389
defparam \shift[7][7]~I .clock_enable_mode = "true";
19390
defparam \shift[7][7]~I .lut_mask = "ff00";
19391
defparam \shift[7][7]~I .operation_mode = "normal";
19392
defparam \shift[7][7]~I .output_mode = "reg_only";
19393
defparam \shift[7][7]~I .packed_mode = "false";
19394
// synopsys translate_on
19395
 
19396
// atom is at LC8_I2
19397
flex10ke_lcell \wordsync~31_I (
19398
// Equation(s):
19399
// \wordsync~31  = \wcnt_rtl_9|wysi_counter|q [0] & cend
19400
 
19401
	.dataa(vcc),
19402
	.datab(vcc),
19403
	.datac(\wcnt_rtl_9|wysi_counter|q [0]),
19404
	.datad(cend),
19405
	.aclr(gnd),
19406
	.aload(gnd),
19407
	.clk(gnd),
19408
	.cin(gnd),
19409
	.cascin(vcc),
19410
	.devclrn(devclrn),
19411
	.devpor(devpor),
19412
	.combout(\wordsync~31 ),
19413
	.regout(),
19414
	.cout(),
19415
	.cascout());
19416
// synopsys translate_off
19417
defparam \wordsync~31_I .clock_enable_mode = "false";
19418
defparam \wordsync~31_I .lut_mask = "f000";
19419
defparam \wordsync~31_I .operation_mode = "normal";
19420
defparam \wordsync~31_I .output_mode = "comb_only";
19421
defparam \wordsync~31_I .packed_mode = "false";
19422
// synopsys translate_on
19423
 
19424
// atom is at LC6_I2
19425
flex10ke_lcell \wordsync~I (
19426
// Equation(s):
19427
// wordsync = !\wcnt_rtl_9|wysi_counter|q [2] & !\wcnt_rtl_9|wysi_counter|q [3] & !\wcnt_rtl_9|wysi_counter|q [1] & \wordsync~31 
19428
 
19429
	.dataa(\wcnt_rtl_9|wysi_counter|q [2]),
19430
	.datab(\wcnt_rtl_9|wysi_counter|q [3]),
19431
	.datac(\wcnt_rtl_9|wysi_counter|q [1]),
19432
	.datad(\wordsync~31 ),
19433
	.aclr(gnd),
19434
	.aload(gnd),
19435
	.clk(gnd),
19436
	.cin(gnd),
19437
	.cascin(vcc),
19438
	.devclrn(devclrn),
19439
	.devpor(devpor),
19440
	.combout(wordsync),
19441
	.regout(),
19442
	.cout(),
19443
	.cascout());
19444
// synopsys translate_off
19445
defparam \wordsync~I .clock_enable_mode = "false";
19446
defparam \wordsync~I .lut_mask = "0100";
19447
defparam \wordsync~I .operation_mode = "normal";
19448
defparam \wordsync~I .output_mode = "comb_only";
19449
defparam \wordsync~I .packed_mode = "false";
19450
// synopsys translate_on
19451
 
19452
// atom is at LC5_G9
19453
flex10ke_lcell \pixnumber_rtl_4|wysi_counter|counter_cell[3]~1_I (
19454
// Equation(s):
19455
// \pixnumber_rtl_4|wysi_counter|counter_cell[3]~1  = !cend # !wordsync
19456
 
19457
	.dataa(vcc),
19458
	.datab(vcc),
19459
	.datac(wordsync),
19460
	.datad(cend),
19461
	.aclr(gnd),
19462
	.aload(gnd),
19463
	.clk(gnd),
19464
	.cin(gnd),
19465
	.cascin(vcc),
19466
	.devclrn(devclrn),
19467
	.devpor(devpor),
19468
	.combout(\pixnumber_rtl_4|wysi_counter|counter_cell[3]~1 ),
19469
	.regout(),
19470
	.cout(),
19471
	.cascout());
19472
// synopsys translate_off
19473
defparam \pixnumber_rtl_4|wysi_counter|counter_cell[3]~1_I .clock_enable_mode = "false";
19474
defparam \pixnumber_rtl_4|wysi_counter|counter_cell[3]~1_I .lut_mask = "0fff";
19475
defparam \pixnumber_rtl_4|wysi_counter|counter_cell[3]~1_I .operation_mode = "normal";
19476
defparam \pixnumber_rtl_4|wysi_counter|counter_cell[3]~1_I .output_mode = "comb_only";
19477
defparam \pixnumber_rtl_4|wysi_counter|counter_cell[3]~1_I .packed_mode = "false";
19478
// synopsys translate_on
19479
 
19480
// atom is at LC1_G9
19481
flex10ke_lcell \pixnumber_rtl_4|wysi_counter|counter_cell[0] (
19482
// Equation(s):
19483
// \pixnumber_rtl_4|wysi_counter|q [0] = DFFEA((cend $ \pixnumber_rtl_4|wysi_counter|q [0]) & \pixnumber_rtl_4|wysi_counter|counter_cell[3]~1 , GLOBAL(\fclk~dataout ), , , cend, , )
19484
// \pixnumber_rtl_4|wysi_counter|counter_cell[0]~COUT  = CARRY(\pixnumber_rtl_4|wysi_counter|q [0])
19485
 
19486
	.dataa(cend),
19487
	.datab(\pixnumber_rtl_4|wysi_counter|counter_cell[3]~1 ),
19488
	.datac(vcc),
19489
	.datad(vcc),
19490
	.aclr(gnd),
19491
	.aload(gnd),
19492
	.clk(clk),
19493
	.cin(gnd),
19494
	.cascin(vcc),
19495
	.devclrn(devclrn),
19496
	.devpor(devpor),
19497
	.combout(),
19498
	.regout(\pixnumber_rtl_4|wysi_counter|q [0]),
19499
	.cout(\pixnumber_rtl_4|wysi_counter|counter_cell[0]~COUT ),
19500
	.cascout());
19501
// synopsys translate_off
19502
defparam \pixnumber_rtl_4|wysi_counter|counter_cell[0] .clock_enable_mode = "true";
19503
defparam \pixnumber_rtl_4|wysi_counter|counter_cell[0] .lut_mask = "66aa";
19504
defparam \pixnumber_rtl_4|wysi_counter|counter_cell[0] .operation_mode = "clrb_cntr";
19505
defparam \pixnumber_rtl_4|wysi_counter|counter_cell[0] .output_mode = "reg_only";
19506
defparam \pixnumber_rtl_4|wysi_counter|counter_cell[0] .packed_mode = "false";
19507
// synopsys translate_on
19508
 
19509
// atom is at LC2_G9
19510
flex10ke_lcell \pixnumber_rtl_4|wysi_counter|counter_cell[1] (
19511
// Equation(s):
19512
// \pixnumber_rtl_4|wysi_counter|q [1] = DFFEA((\pixnumber_rtl_4|wysi_counter|q [1] $ (cend & \pixnumber_rtl_4|wysi_counter|counter_cell[0]~COUT )) & \pixnumber_rtl_4|wysi_counter|counter_cell[3]~1 , GLOBAL(\fclk~dataout ), , , cend, , )
19513
// \pixnumber_rtl_4|wysi_counter|counter_cell[1]~COUT  = CARRY(\pixnumber_rtl_4|wysi_counter|q [1] & (\pixnumber_rtl_4|wysi_counter|counter_cell[0]~COUT ))
19514
 
19515
	.dataa(cend),
19516
	.datab(\pixnumber_rtl_4|wysi_counter|counter_cell[3]~1 ),
19517
	.datac(vcc),
19518
	.datad(vcc),
19519
	.aclr(gnd),
19520
	.aload(gnd),
19521
	.clk(clk),
19522
	.cin(\pixnumber_rtl_4|wysi_counter|counter_cell[0]~COUT ),
19523
	.cascin(vcc),
19524
	.devclrn(devclrn),
19525
	.devpor(devpor),
19526
	.combout(),
19527
	.regout(\pixnumber_rtl_4|wysi_counter|q [1]),
19528
	.cout(\pixnumber_rtl_4|wysi_counter|counter_cell[1]~COUT ),
19529
	.cascout());
19530
// synopsys translate_off
19531
defparam \pixnumber_rtl_4|wysi_counter|counter_cell[1] .cin_used = "true";
19532
defparam \pixnumber_rtl_4|wysi_counter|counter_cell[1] .clock_enable_mode = "true";
19533
defparam \pixnumber_rtl_4|wysi_counter|counter_cell[1] .lut_mask = "6ca0";
19534
defparam \pixnumber_rtl_4|wysi_counter|counter_cell[1] .operation_mode = "clrb_cntr";
19535
defparam \pixnumber_rtl_4|wysi_counter|counter_cell[1] .output_mode = "reg_only";
19536
defparam \pixnumber_rtl_4|wysi_counter|counter_cell[1] .packed_mode = "false";
19537
// synopsys translate_on
19538
 
19539
// atom is at LC3_G9
19540
flex10ke_lcell \pixnumber_rtl_4|wysi_counter|counter_cell[2] (
19541
// Equation(s):
19542
// \pixnumber_rtl_4|wysi_counter|q [2] = DFFEA((\pixnumber_rtl_4|wysi_counter|q [2] $ (cend & \pixnumber_rtl_4|wysi_counter|counter_cell[1]~COUT )) & \pixnumber_rtl_4|wysi_counter|counter_cell[3]~1 , GLOBAL(\fclk~dataout ), , , cend, , )
19543
// \pixnumber_rtl_4|wysi_counter|counter_cell[2]~COUT  = CARRY(\pixnumber_rtl_4|wysi_counter|q [2] & (\pixnumber_rtl_4|wysi_counter|counter_cell[1]~COUT ))
19544
 
19545
	.dataa(cend),
19546
	.datab(\pixnumber_rtl_4|wysi_counter|counter_cell[3]~1 ),
19547
	.datac(vcc),
19548
	.datad(vcc),
19549
	.aclr(gnd),
19550
	.aload(gnd),
19551
	.clk(clk),
19552
	.cin(\pixnumber_rtl_4|wysi_counter|counter_cell[1]~COUT ),
19553
	.cascin(vcc),
19554
	.devclrn(devclrn),
19555
	.devpor(devpor),
19556
	.combout(),
19557
	.regout(\pixnumber_rtl_4|wysi_counter|q [2]),
19558
	.cout(\pixnumber_rtl_4|wysi_counter|counter_cell[2]~COUT ),
19559
	.cascout());
19560
// synopsys translate_off
19561
defparam \pixnumber_rtl_4|wysi_counter|counter_cell[2] .cin_used = "true";
19562
defparam \pixnumber_rtl_4|wysi_counter|counter_cell[2] .clock_enable_mode = "true";
19563
defparam \pixnumber_rtl_4|wysi_counter|counter_cell[2] .lut_mask = "6ca0";
19564
defparam \pixnumber_rtl_4|wysi_counter|counter_cell[2] .operation_mode = "clrb_cntr";
19565
defparam \pixnumber_rtl_4|wysi_counter|counter_cell[2] .output_mode = "reg_only";
19566
defparam \pixnumber_rtl_4|wysi_counter|counter_cell[2] .packed_mode = "false";
19567
// synopsys translate_on
19568
 
19569
// atom is at LC7_G3
19570
flex10ke_lcell \fbuf[2][7]~I (
19571
// Equation(s):
19572
// \fbuf[2][7]  = DFFEA(rddata_7, GLOBAL(\fclk~dataout ), , , \fbuf[2][14]~413 , , )
19573
 
19574
	.dataa(\fbuf[2][14]~413 ),
19575
	.datab(vcc),
19576
	.datac(vcc),
19577
	.datad(rddata_7),
19578
	.aclr(gnd),
19579
	.aload(gnd),
19580
	.clk(clk),
19581
	.cin(gnd),
19582
	.cascin(vcc),
19583
	.devclrn(devclrn),
19584
	.devpor(devpor),
19585
	.combout(),
19586
	.regout(\fbuf[2][7] ),
19587
	.cout(),
19588
	.cascout());
19589
// synopsys translate_off
19590
defparam \fbuf[2][7]~I .clock_enable_mode = "true";
19591
defparam \fbuf[2][7]~I .lut_mask = "ff00";
19592
defparam \fbuf[2][7]~I .operation_mode = "normal";
19593
defparam \fbuf[2][7]~I .output_mode = "reg_only";
19594
defparam \fbuf[2][7]~I .packed_mode = "false";
19595
// synopsys translate_on
19596
 
19597
// atom is at LC2_G3
19598
flex10ke_lcell \shift[5][7]~I (
19599
// Equation(s):
19600
// \shift[5][7]  = DFFEA(\fbuf[2][7] , GLOBAL(\fclk~dataout ), , , wordsync, , )
19601
 
19602
	.dataa(wordsync),
19603
	.datab(vcc),
19604
	.datac(vcc),
19605
	.datad(\fbuf[2][7] ),
19606
	.aclr(gnd),
19607
	.aload(gnd),
19608
	.clk(clk),
19609
	.cin(gnd),
19610
	.cascin(vcc),
19611
	.devclrn(devclrn),
19612
	.devpor(devpor),
19613
	.combout(),
19614
	.regout(\shift[5][7] ),
19615
	.cout(),
19616
	.cascout());
19617
// synopsys translate_off
19618
defparam \shift[5][7]~I .clock_enable_mode = "true";
19619
defparam \shift[5][7]~I .lut_mask = "ff00";
19620
defparam \shift[5][7]~I .operation_mode = "normal";
19621
defparam \shift[5][7]~I .output_mode = "reg_only";
19622
defparam \shift[5][7]~I .packed_mode = "false";
19623
// synopsys translate_on
19624
 
19625
// atom is at LC3_G3
19626
flex10ke_lcell \Mux10~28_I (
19627
// Equation(s):
19628
// \Mux10~28  = \pixnumber_rtl_4|wysi_counter|q [2] & (\shift[5][7]  # \pixnumber_rtl_4|wysi_counter|q [1]) # !\pixnumber_rtl_4|wysi_counter|q [2] & \shift[1][7]  & (!\pixnumber_rtl_4|wysi_counter|q [1])
19629
 
19630
	.dataa(\shift[1][7] ),
19631
	.datab(\pixnumber_rtl_4|wysi_counter|q [2]),
19632
	.datac(\shift[5][7] ),
19633
	.datad(\pixnumber_rtl_4|wysi_counter|q [1]),
19634
	.aclr(gnd),
19635
	.aload(gnd),
19636
	.clk(gnd),
19637
	.cin(gnd),
19638
	.cascin(vcc),
19639
	.devclrn(devclrn),
19640
	.devpor(devpor),
19641
	.combout(\Mux10~28 ),
19642
	.regout(),
19643
	.cout(),
19644
	.cascout());
19645
// synopsys translate_off
19646
defparam \Mux10~28_I .clock_enable_mode = "false";
19647
defparam \Mux10~28_I .lut_mask = "cce2";
19648
defparam \Mux10~28_I .operation_mode = "normal";
19649
defparam \Mux10~28_I .output_mode = "comb_only";
19650
defparam \Mux10~28_I .packed_mode = "false";
19651
// synopsys translate_on
19652
 
19653
// atom is at LC6_G3
19654
flex10ke_lcell \fbuf[1][7]~I (
19655
// Equation(s):
19656
// \fbuf[1][7]  = DFFEA(rddata_7, GLOBAL(\fclk~dataout ), , , \fbuf[1][14]~414 , , )
19657
 
19658
	.dataa(\fbuf[1][14]~414 ),
19659
	.datab(vcc),
19660
	.datac(vcc),
19661
	.datad(rddata_7),
19662
	.aclr(gnd),
19663
	.aload(gnd),
19664
	.clk(clk),
19665
	.cin(gnd),
19666
	.cascin(vcc),
19667
	.devclrn(devclrn),
19668
	.devpor(devpor),
19669
	.combout(),
19670
	.regout(\fbuf[1][7] ),
19671
	.cout(),
19672
	.cascout());
19673
// synopsys translate_off
19674
defparam \fbuf[1][7]~I .clock_enable_mode = "true";
19675
defparam \fbuf[1][7]~I .lut_mask = "ff00";
19676
defparam \fbuf[1][7]~I .operation_mode = "normal";
19677
defparam \fbuf[1][7]~I .output_mode = "reg_only";
19678
defparam \fbuf[1][7]~I .packed_mode = "false";
19679
// synopsys translate_on
19680
 
19681
// atom is at LC1_G3
19682
flex10ke_lcell \shift[3][7]~I (
19683
// Equation(s):
19684
// \shift[3][7]  = DFFEA(\fbuf[1][7] , GLOBAL(\fclk~dataout ), , , wordsync, , )
19685
 
19686
	.dataa(wordsync),
19687
	.datab(vcc),
19688
	.datac(vcc),
19689
	.datad(\fbuf[1][7] ),
19690
	.aclr(gnd),
19691
	.aload(gnd),
19692
	.clk(clk),
19693
	.cin(gnd),
19694
	.cascin(vcc),
19695
	.devclrn(devclrn),
19696
	.devpor(devpor),
19697
	.combout(),
19698
	.regout(\shift[3][7] ),
19699
	.cout(),
19700
	.cascout());
19701
// synopsys translate_off
19702
defparam \shift[3][7]~I .clock_enable_mode = "true";
19703
defparam \shift[3][7]~I .lut_mask = "ff00";
19704
defparam \shift[3][7]~I .operation_mode = "normal";
19705
defparam \shift[3][7]~I .output_mode = "reg_only";
19706
defparam \shift[3][7]~I .packed_mode = "false";
19707
// synopsys translate_on
19708
 
19709
// atom is at LC5_G3
19710
flex10ke_lcell \Mux10~29_I (
19711
// Equation(s):
19712
// \Mux10~29  = \Mux10~28  & (\shift[7][7]  # !\pixnumber_rtl_4|wysi_counter|q [1]) # !\Mux10~28  & (\pixnumber_rtl_4|wysi_counter|q [1] & \shift[3][7] )
19713
 
19714
	.dataa(\shift[7][7] ),
19715
	.datab(\Mux10~28 ),
19716
	.datac(\pixnumber_rtl_4|wysi_counter|q [1]),
19717
	.datad(\shift[3][7] ),
19718
	.aclr(gnd),
19719
	.aload(gnd),
19720
	.clk(gnd),
19721
	.cin(gnd),
19722
	.cascin(vcc),
19723
	.devclrn(devclrn),
19724
	.devpor(devpor),
19725
	.combout(\Mux10~29 ),
19726
	.regout(),
19727
	.cout(),
19728
	.cascout());
19729
// synopsys translate_off
19730
defparam \Mux10~29_I .clock_enable_mode = "false";
19731
defparam \Mux10~29_I .lut_mask = "bc8c";
19732
defparam \Mux10~29_I .operation_mode = "normal";
19733
defparam \Mux10~29_I .output_mode = "comb_only";
19734
defparam \Mux10~29_I .packed_mode = "false";
19735
// synopsys translate_on
19736
 
19737
// atom is at LC6_G14
19738
flex10ke_lcell \fbuf[1][14]~I (
19739
// Equation(s):
19740
// \fbuf[1][14]  = DFFEA(rddata_14, GLOBAL(\fclk~dataout ), , , \fbuf[1][14]~414 , , )
19741
 
19742
	.dataa(\fbuf[1][14]~414 ),
19743
	.datab(vcc),
19744
	.datac(vcc),
19745
	.datad(rddata_14),
19746
	.aclr(gnd),
19747
	.aload(gnd),
19748
	.clk(clk),
19749
	.cin(gnd),
19750
	.cascin(vcc),
19751
	.devclrn(devclrn),
19752
	.devpor(devpor),
19753
	.combout(),
19754
	.regout(\fbuf[1][14] ),
19755
	.cout(),
19756
	.cascout());
19757
// synopsys translate_off
19758
defparam \fbuf[1][14]~I .clock_enable_mode = "true";
19759
defparam \fbuf[1][14]~I .lut_mask = "ff00";
19760
defparam \fbuf[1][14]~I .operation_mode = "normal";
19761
defparam \fbuf[1][14]~I .output_mode = "reg_only";
19762
defparam \fbuf[1][14]~I .packed_mode = "false";
19763
// synopsys translate_on
19764
 
19765
// atom is at LC2_G14
19766
flex10ke_lcell \shift[2][6]~I (
19767
// Equation(s):
19768
// \shift[2][6]  = DFFEA(\fbuf[1][14] , GLOBAL(\fclk~dataout ), , , wordsync, , )
19769
 
19770
	.dataa(wordsync),
19771
	.datab(vcc),
19772
	.datac(vcc),
19773
	.datad(\fbuf[1][14] ),
19774
	.aclr(gnd),
19775
	.aload(gnd),
19776
	.clk(clk),
19777
	.cin(gnd),
19778
	.cascin(vcc),
19779
	.devclrn(devclrn),
19780
	.devpor(devpor),
19781
	.combout(),
19782
	.regout(\shift[2][6] ),
19783
	.cout(),
19784
	.cascout());
19785
// synopsys translate_off
19786
defparam \shift[2][6]~I .clock_enable_mode = "true";
19787
defparam \shift[2][6]~I .lut_mask = "ff00";
19788
defparam \shift[2][6]~I .operation_mode = "normal";
19789
defparam \shift[2][6]~I .output_mode = "reg_only";
19790
defparam \shift[2][6]~I .packed_mode = "false";
19791
// synopsys translate_on
19792
 
19793
// atom is at LC3_G14
19794
flex10ke_lcell \Mux10~25_I (
19795
// Equation(s):
19796
// \Mux10~25  = \pixnumber_rtl_4|wysi_counter|q [1] & (\shift[2][6]  # \pixnumber_rtl_4|wysi_counter|q [2]) # !\pixnumber_rtl_4|wysi_counter|q [1] & \shift[0][6]  & (!\pixnumber_rtl_4|wysi_counter|q [2])
19797
 
19798
	.dataa(\shift[0][6] ),
19799
	.datab(\pixnumber_rtl_4|wysi_counter|q [1]),
19800
	.datac(\shift[2][6] ),
19801
	.datad(\pixnumber_rtl_4|wysi_counter|q [2]),
19802
	.aclr(gnd),
19803
	.aload(gnd),
19804
	.clk(gnd),
19805
	.cin(gnd),
19806
	.cascin(vcc),
19807
	.devclrn(devclrn),
19808
	.devpor(devpor),
19809
	.combout(\Mux10~25 ),
19810
	.regout(),
19811
	.cout(),
19812
	.cascout());
19813
// synopsys translate_off
19814
defparam \Mux10~25_I .clock_enable_mode = "false";
19815
defparam \Mux10~25_I .lut_mask = "cce2";
19816
defparam \Mux10~25_I .operation_mode = "normal";
19817
defparam \Mux10~25_I .output_mode = "comb_only";
19818
defparam \Mux10~25_I .packed_mode = "false";
19819
// synopsys translate_on
19820
 
19821
// atom is at LC8_G15
19822
flex10ke_lcell \fbuf[2][14]~I (
19823
// Equation(s):
19824
// \fbuf[2][14]  = DFFEA(rddata_14, GLOBAL(\fclk~dataout ), , , \fbuf[2][14]~413 , , )
19825
 
19826
	.dataa(\fbuf[2][14]~413 ),
19827
	.datab(vcc),
19828
	.datac(vcc),
19829
	.datad(rddata_14),
19830
	.aclr(gnd),
19831
	.aload(gnd),
19832
	.clk(clk),
19833
	.cin(gnd),
19834
	.cascin(vcc),
19835
	.devclrn(devclrn),
19836
	.devpor(devpor),
19837
	.combout(),
19838
	.regout(\fbuf[2][14] ),
19839
	.cout(),
19840
	.cascout());
19841
// synopsys translate_off
19842
defparam \fbuf[2][14]~I .clock_enable_mode = "true";
19843
defparam \fbuf[2][14]~I .lut_mask = "ff00";
19844
defparam \fbuf[2][14]~I .operation_mode = "normal";
19845
defparam \fbuf[2][14]~I .output_mode = "reg_only";
19846
defparam \fbuf[2][14]~I .packed_mode = "false";
19847
// synopsys translate_on
19848
 
19849
// atom is at LC4_G15
19850
flex10ke_lcell \shift[4][6]~I (
19851
// Equation(s):
19852
// \shift[4][6]  = DFFEA(\fbuf[2][14] , GLOBAL(\fclk~dataout ), , , wordsync, , )
19853
 
19854
	.dataa(wordsync),
19855
	.datab(vcc),
19856
	.datac(vcc),
19857
	.datad(\fbuf[2][14] ),
19858
	.aclr(gnd),
19859
	.aload(gnd),
19860
	.clk(clk),
19861
	.cin(gnd),
19862
	.cascin(vcc),
19863
	.devclrn(devclrn),
19864
	.devpor(devpor),
19865
	.combout(),
19866
	.regout(\shift[4][6] ),
19867
	.cout(),
19868
	.cascout());
19869
// synopsys translate_off
19870
defparam \shift[4][6]~I .clock_enable_mode = "true";
19871
defparam \shift[4][6]~I .lut_mask = "ff00";
19872
defparam \shift[4][6]~I .operation_mode = "normal";
19873
defparam \shift[4][6]~I .output_mode = "reg_only";
19874
defparam \shift[4][6]~I .packed_mode = "false";
19875
// synopsys translate_on
19876
 
19877
// atom is at LC5_G15
19878
flex10ke_lcell \Mux10~26_I (
19879
// Equation(s):
19880
// \Mux10~26  = \Mux10~25  & (\shift[6][6]  # !\pixnumber_rtl_4|wysi_counter|q [2]) # !\Mux10~25  & (\pixnumber_rtl_4|wysi_counter|q [2] & \shift[4][6] )
19881
 
19882
	.dataa(\shift[6][6] ),
19883
	.datab(\Mux10~25 ),
19884
	.datac(\pixnumber_rtl_4|wysi_counter|q [2]),
19885
	.datad(\shift[4][6] ),
19886
	.aclr(gnd),
19887
	.aload(gnd),
19888
	.clk(gnd),
19889
	.cin(gnd),
19890
	.cascin(vcc),
19891
	.devclrn(devclrn),
19892
	.devpor(devpor),
19893
	.combout(\Mux10~26 ),
19894
	.regout(),
19895
	.cout(),
19896
	.cascout());
19897
// synopsys translate_off
19898
defparam \Mux10~26_I .clock_enable_mode = "false";
19899
defparam \Mux10~26_I .lut_mask = "bc8c";
19900
defparam \Mux10~26_I .operation_mode = "normal";
19901
defparam \Mux10~26_I .output_mode = "comb_only";
19902
defparam \Mux10~26_I .packed_mode = "false";
19903
// synopsys translate_on
19904
 
19905
// atom is at LC4_G9
19906
flex10ke_lcell \pixnumber_rtl_4|wysi_counter|counter_cell[3] (
19907
// Equation(s):
19908
// \pixnumber_rtl_4|wysi_counter|q [3] = DFFEA((\pixnumber_rtl_4|wysi_counter|q [3] $ (cend & \pixnumber_rtl_4|wysi_counter|counter_cell[2]~COUT )) & \pixnumber_rtl_4|wysi_counter|counter_cell[3]~1 , GLOBAL(\fclk~dataout ), , , cend, , )
19909
 
19910
	.dataa(cend),
19911
	.datab(\pixnumber_rtl_4|wysi_counter|counter_cell[3]~1 ),
19912
	.datac(vcc),
19913
	.datad(vcc),
19914
	.aclr(gnd),
19915
	.aload(gnd),
19916
	.clk(clk),
19917
	.cin(\pixnumber_rtl_4|wysi_counter|counter_cell[2]~COUT ),
19918
	.cascin(vcc),
19919
	.devclrn(devclrn),
19920
	.devpor(devpor),
19921
	.combout(),
19922
	.regout(\pixnumber_rtl_4|wysi_counter|q [3]),
19923
	.cout(),
19924
	.cascout());
19925
// synopsys translate_off
19926
defparam \pixnumber_rtl_4|wysi_counter|counter_cell[3] .cin_used = "true";
19927
defparam \pixnumber_rtl_4|wysi_counter|counter_cell[3] .clock_enable_mode = "true";
19928
defparam \pixnumber_rtl_4|wysi_counter|counter_cell[3] .lut_mask = "6c6c";
19929
defparam \pixnumber_rtl_4|wysi_counter|counter_cell[3] .operation_mode = "clrb_cntr";
19930
defparam \pixnumber_rtl_4|wysi_counter|counter_cell[3] .output_mode = "reg_only";
19931
defparam \pixnumber_rtl_4|wysi_counter|counter_cell[3] .packed_mode = "false";
19932
// synopsys translate_on
19933
 
19934
// atom is at LC5_G14
19935
flex10ke_lcell \fbuf[1][6]~I (
19936
// Equation(s):
19937
// \fbuf[1][6]  = DFFEA(rddata_6, GLOBAL(\fclk~dataout ), , , \fbuf[1][14]~414 , , )
19938
 
19939
	.dataa(\fbuf[1][14]~414 ),
19940
	.datab(vcc),
19941
	.datac(vcc),
19942
	.datad(rddata_6),
19943
	.aclr(gnd),
19944
	.aload(gnd),
19945
	.clk(clk),
19946
	.cin(gnd),
19947
	.cascin(vcc),
19948
	.devclrn(devclrn),
19949
	.devpor(devpor),
19950
	.combout(),
19951
	.regout(\fbuf[1][6] ),
19952
	.cout(),
19953
	.cascout());
19954
// synopsys translate_off
19955
defparam \fbuf[1][6]~I .clock_enable_mode = "true";
19956
defparam \fbuf[1][6]~I .lut_mask = "ff00";
19957
defparam \fbuf[1][6]~I .operation_mode = "normal";
19958
defparam \fbuf[1][6]~I .output_mode = "reg_only";
19959
defparam \fbuf[1][6]~I .packed_mode = "false";
19960
// synopsys translate_on
19961
 
19962
// atom is at LC1_G14
19963
flex10ke_lcell \shift[3][6]~I (
19964
// Equation(s):
19965
// \shift[3][6]  = DFFEA(\fbuf[1][6] , GLOBAL(\fclk~dataout ), , , wordsync, , )
19966
 
19967
	.dataa(wordsync),
19968
	.datab(vcc),
19969
	.datac(vcc),
19970
	.datad(\fbuf[1][6] ),
19971
	.aclr(gnd),
19972
	.aload(gnd),
19973
	.clk(clk),
19974
	.cin(gnd),
19975
	.cascin(vcc),
19976
	.devclrn(devclrn),
19977
	.devpor(devpor),
19978
	.combout(),
19979
	.regout(\shift[3][6] ),
19980
	.cout(),
19981
	.cascout());
19982
// synopsys translate_off
19983
defparam \shift[3][6]~I .clock_enable_mode = "true";
19984
defparam \shift[3][6]~I .lut_mask = "ff00";
19985
defparam \shift[3][6]~I .operation_mode = "normal";
19986
defparam \shift[3][6]~I .output_mode = "reg_only";
19987
defparam \shift[3][6]~I .packed_mode = "false";
19988
// synopsys translate_on
19989
 
19990
// atom is at LC8_G14
19991
flex10ke_lcell \Mux10~23_I (
19992
// Equation(s):
19993
// \Mux10~23  = \pixnumber_rtl_4|wysi_counter|q [1] & (\shift[3][6]  # \pixnumber_rtl_4|wysi_counter|q [2]) # !\pixnumber_rtl_4|wysi_counter|q [1] & \shift[1][6]  & (!\pixnumber_rtl_4|wysi_counter|q [2])
19994
 
19995
	.dataa(\shift[1][6] ),
19996
	.datab(\pixnumber_rtl_4|wysi_counter|q [1]),
19997
	.datac(\shift[3][6] ),
19998
	.datad(\pixnumber_rtl_4|wysi_counter|q [2]),
19999
	.aclr(gnd),
20000
	.aload(gnd),
20001
	.clk(gnd),
20002
	.cin(gnd),
20003
	.cascin(vcc),
20004
	.devclrn(devclrn),
20005
	.devpor(devpor),
20006
	.combout(\Mux10~23 ),
20007
	.regout(),
20008
	.cout(),
20009
	.cascout());
20010
// synopsys translate_off
20011
defparam \Mux10~23_I .clock_enable_mode = "false";
20012
defparam \Mux10~23_I .lut_mask = "cce2";
20013
defparam \Mux10~23_I .operation_mode = "normal";
20014
defparam \Mux10~23_I .output_mode = "comb_only";
20015
defparam \Mux10~23_I .packed_mode = "false";
20016
// synopsys translate_on
20017
 
20018
// atom is at LC7_G15
20019
flex10ke_lcell \fbuf[2][6]~I (
20020
// Equation(s):
20021
// \fbuf[2][6]  = DFFEA(rddata_6, GLOBAL(\fclk~dataout ), , , \fbuf[2][14]~413 , , )
20022
 
20023
	.dataa(\fbuf[2][14]~413 ),
20024
	.datab(vcc),
20025
	.datac(vcc),
20026
	.datad(rddata_6),
20027
	.aclr(gnd),
20028
	.aload(gnd),
20029
	.clk(clk),
20030
	.cin(gnd),
20031
	.cascin(vcc),
20032
	.devclrn(devclrn),
20033
	.devpor(devpor),
20034
	.combout(),
20035
	.regout(\fbuf[2][6] ),
20036
	.cout(),
20037
	.cascout());
20038
// synopsys translate_off
20039
defparam \fbuf[2][6]~I .clock_enable_mode = "true";
20040
defparam \fbuf[2][6]~I .lut_mask = "ff00";
20041
defparam \fbuf[2][6]~I .operation_mode = "normal";
20042
defparam \fbuf[2][6]~I .output_mode = "reg_only";
20043
defparam \fbuf[2][6]~I .packed_mode = "false";
20044
// synopsys translate_on
20045
 
20046
// atom is at LC1_G15
20047
flex10ke_lcell \shift[5][6]~I (
20048
// Equation(s):
20049
// \shift[5][6]  = DFFEA(\fbuf[2][6] , GLOBAL(\fclk~dataout ), , , wordsync, , )
20050
 
20051
	.dataa(wordsync),
20052
	.datab(vcc),
20053
	.datac(vcc),
20054
	.datad(\fbuf[2][6] ),
20055
	.aclr(gnd),
20056
	.aload(gnd),
20057
	.clk(clk),
20058
	.cin(gnd),
20059
	.cascin(vcc),
20060
	.devclrn(devclrn),
20061
	.devpor(devpor),
20062
	.combout(),
20063
	.regout(\shift[5][6] ),
20064
	.cout(),
20065
	.cascout());
20066
// synopsys translate_off
20067
defparam \shift[5][6]~I .clock_enable_mode = "true";
20068
defparam \shift[5][6]~I .lut_mask = "ff00";
20069
defparam \shift[5][6]~I .operation_mode = "normal";
20070
defparam \shift[5][6]~I .output_mode = "reg_only";
20071
defparam \shift[5][6]~I .packed_mode = "false";
20072
// synopsys translate_on
20073
 
20074
// atom is at LC3_G15
20075
flex10ke_lcell \Mux10~24_I (
20076
// Equation(s):
20077
// \Mux10~24  = \Mux10~23  & (\shift[7][6]  # !\pixnumber_rtl_4|wysi_counter|q [2]) # !\Mux10~23  & (\pixnumber_rtl_4|wysi_counter|q [2] & \shift[5][6] )
20078
 
20079
	.dataa(\shift[7][6] ),
20080
	.datab(\Mux10~23 ),
20081
	.datac(\pixnumber_rtl_4|wysi_counter|q [2]),
20082
	.datad(\shift[5][6] ),
20083
	.aclr(gnd),
20084
	.aload(gnd),
20085
	.clk(gnd),
20086
	.cin(gnd),
20087
	.cascin(vcc),
20088
	.devclrn(devclrn),
20089
	.devpor(devpor),
20090
	.combout(\Mux10~24 ),
20091
	.regout(),
20092
	.cout(),
20093
	.cascout());
20094
// synopsys translate_off
20095
defparam \Mux10~24_I .clock_enable_mode = "false";
20096
defparam \Mux10~24_I .lut_mask = "bc8c";
20097
defparam \Mux10~24_I .operation_mode = "normal";
20098
defparam \Mux10~24_I .output_mode = "comb_only";
20099
defparam \Mux10~24_I .packed_mode = "false";
20100
// synopsys translate_on
20101
 
20102
// atom is at LC6_G15
20103
flex10ke_lcell \Mux10~27_I (
20104
// Equation(s):
20105
// \Mux10~27  = \pixnumber_rtl_4|wysi_counter|q [3] & (\Mux10~24  # \pixnumber_rtl_4|wysi_counter|q [0]) # !\pixnumber_rtl_4|wysi_counter|q [3] & \Mux10~26  & (!\pixnumber_rtl_4|wysi_counter|q [0])
20106
 
20107
	.dataa(\Mux10~26 ),
20108
	.datab(\pixnumber_rtl_4|wysi_counter|q [3]),
20109
	.datac(\Mux10~24 ),
20110
	.datad(\pixnumber_rtl_4|wysi_counter|q [0]),
20111
	.aclr(gnd),
20112
	.aload(gnd),
20113
	.clk(gnd),
20114
	.cin(gnd),
20115
	.cascin(vcc),
20116
	.devclrn(devclrn),
20117
	.devpor(devpor),
20118
	.combout(\Mux10~27 ),
20119
	.regout(),
20120
	.cout(),
20121
	.cascout());
20122
// synopsys translate_off
20123
defparam \Mux10~27_I .clock_enable_mode = "false";
20124
defparam \Mux10~27_I .lut_mask = "cce2";
20125
defparam \Mux10~27_I .operation_mode = "normal";
20126
defparam \Mux10~27_I .output_mode = "comb_only";
20127
defparam \Mux10~27_I .packed_mode = "false";
20128
// synopsys translate_on
20129
 
20130
// atom is at LC7_G19
20131
flex10ke_lcell \fbuf[3][15]~I (
20132
// Equation(s):
20133
// \fbuf[3][15]  = DFFEA(rddata_15, GLOBAL(\fclk~dataout ), , , \fbuf[3][14]~416 , , )
20134
 
20135
	.dataa(\fbuf[3][14]~416 ),
20136
	.datab(vcc),
20137
	.datac(vcc),
20138
	.datad(rddata_15),
20139
	.aclr(gnd),
20140
	.aload(gnd),
20141
	.clk(clk),
20142
	.cin(gnd),
20143
	.cascin(vcc),
20144
	.devclrn(devclrn),
20145
	.devpor(devpor),
20146
	.combout(),
20147
	.regout(\fbuf[3][15] ),
20148
	.cout(),
20149
	.cascout());
20150
// synopsys translate_off
20151
defparam \fbuf[3][15]~I .clock_enable_mode = "true";
20152
defparam \fbuf[3][15]~I .lut_mask = "ff00";
20153
defparam \fbuf[3][15]~I .operation_mode = "normal";
20154
defparam \fbuf[3][15]~I .output_mode = "reg_only";
20155
defparam \fbuf[3][15]~I .packed_mode = "false";
20156
// synopsys translate_on
20157
 
20158
// atom is at LC3_G19
20159
flex10ke_lcell \shift[6][7]~I (
20160
// Equation(s):
20161
// \shift[6][7]  = DFFEA(\fbuf[3][15] , GLOBAL(\fclk~dataout ), , , wordsync, , )
20162
 
20163
	.dataa(wordsync),
20164
	.datab(vcc),
20165
	.datac(vcc),
20166
	.datad(\fbuf[3][15] ),
20167
	.aclr(gnd),
20168
	.aload(gnd),
20169
	.clk(clk),
20170
	.cin(gnd),
20171
	.cascin(vcc),
20172
	.devclrn(devclrn),
20173
	.devpor(devpor),
20174
	.combout(),
20175
	.regout(\shift[6][7] ),
20176
	.cout(),
20177
	.cascout());
20178
// synopsys translate_off
20179
defparam \shift[6][7]~I .clock_enable_mode = "true";
20180
defparam \shift[6][7]~I .lut_mask = "ff00";
20181
defparam \shift[6][7]~I .operation_mode = "normal";
20182
defparam \shift[6][7]~I .output_mode = "reg_only";
20183
defparam \shift[6][7]~I .packed_mode = "false";
20184
// synopsys translate_on
20185
 
20186
// atom is at LC6_G21
20187
flex10ke_lcell \fbuf[1][15]~I (
20188
// Equation(s):
20189
// \fbuf[1][15]  = DFFEA(rddata_15, GLOBAL(\fclk~dataout ), , , \fbuf[1][14]~414 , , )
20190
 
20191
	.dataa(\fbuf[1][14]~414 ),
20192
	.datab(vcc),
20193
	.datac(vcc),
20194
	.datad(rddata_15),
20195
	.aclr(gnd),
20196
	.aload(gnd),
20197
	.clk(clk),
20198
	.cin(gnd),
20199
	.cascin(vcc),
20200
	.devclrn(devclrn),
20201
	.devpor(devpor),
20202
	.combout(),
20203
	.regout(\fbuf[1][15] ),
20204
	.cout(),
20205
	.cascout());
20206
// synopsys translate_off
20207
defparam \fbuf[1][15]~I .clock_enable_mode = "true";
20208
defparam \fbuf[1][15]~I .lut_mask = "ff00";
20209
defparam \fbuf[1][15]~I .operation_mode = "normal";
20210
defparam \fbuf[1][15]~I .output_mode = "reg_only";
20211
defparam \fbuf[1][15]~I .packed_mode = "false";
20212
// synopsys translate_on
20213
 
20214
// atom is at LC7_G21
20215
flex10ke_lcell \shift[2][7]~I (
20216
// Equation(s):
20217
// \shift[2][7]  = DFFEA(\fbuf[1][15] , GLOBAL(\fclk~dataout ), , , wordsync, , )
20218
 
20219
	.dataa(wordsync),
20220
	.datab(vcc),
20221
	.datac(vcc),
20222
	.datad(\fbuf[1][15] ),
20223
	.aclr(gnd),
20224
	.aload(gnd),
20225
	.clk(clk),
20226
	.cin(gnd),
20227
	.cascin(vcc),
20228
	.devclrn(devclrn),
20229
	.devpor(devpor),
20230
	.combout(),
20231
	.regout(\shift[2][7] ),
20232
	.cout(),
20233
	.cascout());
20234
// synopsys translate_off
20235
defparam \shift[2][7]~I .clock_enable_mode = "true";
20236
defparam \shift[2][7]~I .lut_mask = "ff00";
20237
defparam \shift[2][7]~I .operation_mode = "normal";
20238
defparam \shift[2][7]~I .output_mode = "reg_only";
20239
defparam \shift[2][7]~I .packed_mode = "false";
20240
// synopsys translate_on
20241
 
20242
// atom is at LC2_G19
20243
flex10ke_lcell \Mux10~21_I (
20244
// Equation(s):
20245
// \Mux10~21  = \pixnumber_rtl_4|wysi_counter|q [1] & (\shift[2][7]  # \pixnumber_rtl_4|wysi_counter|q [2]) # !\pixnumber_rtl_4|wysi_counter|q [1] & \shift[0][7]  & (!\pixnumber_rtl_4|wysi_counter|q [2])
20246
 
20247
	.dataa(\shift[0][7] ),
20248
	.datab(\pixnumber_rtl_4|wysi_counter|q [1]),
20249
	.datac(\shift[2][7] ),
20250
	.datad(\pixnumber_rtl_4|wysi_counter|q [2]),
20251
	.aclr(gnd),
20252
	.aload(gnd),
20253
	.clk(gnd),
20254
	.cin(gnd),
20255
	.cascin(vcc),
20256
	.devclrn(devclrn),
20257
	.devpor(devpor),
20258
	.combout(\Mux10~21 ),
20259
	.regout(),
20260
	.cout(),
20261
	.cascout());
20262
// synopsys translate_off
20263
defparam \Mux10~21_I .clock_enable_mode = "false";
20264
defparam \Mux10~21_I .lut_mask = "cce2";
20265
defparam \Mux10~21_I .operation_mode = "normal";
20266
defparam \Mux10~21_I .output_mode = "comb_only";
20267
defparam \Mux10~21_I .packed_mode = "false";
20268
// synopsys translate_on
20269
 
20270
// atom is at LC5_G19
20271
flex10ke_lcell \fbuf[2][15]~I (
20272
// Equation(s):
20273
// \fbuf[2][15]  = DFFEA(rddata_15, GLOBAL(\fclk~dataout ), , , \fbuf[2][14]~413 , , )
20274
 
20275
	.dataa(\fbuf[2][14]~413 ),
20276
	.datab(vcc),
20277
	.datac(vcc),
20278
	.datad(rddata_15),
20279
	.aclr(gnd),
20280
	.aload(gnd),
20281
	.clk(clk),
20282
	.cin(gnd),
20283
	.cascin(vcc),
20284
	.devclrn(devclrn),
20285
	.devpor(devpor),
20286
	.combout(),
20287
	.regout(\fbuf[2][15] ),
20288
	.cout(),
20289
	.cascout());
20290
// synopsys translate_off
20291
defparam \fbuf[2][15]~I .clock_enable_mode = "true";
20292
defparam \fbuf[2][15]~I .lut_mask = "ff00";
20293
defparam \fbuf[2][15]~I .operation_mode = "normal";
20294
defparam \fbuf[2][15]~I .output_mode = "reg_only";
20295
defparam \fbuf[2][15]~I .packed_mode = "false";
20296
// synopsys translate_on
20297
 
20298
// atom is at LC1_G19
20299
flex10ke_lcell \shift[4][7]~I (
20300
// Equation(s):
20301
// \shift[4][7]  = DFFEA(\fbuf[2][15] , GLOBAL(\fclk~dataout ), , , wordsync, , )
20302
 
20303
	.dataa(wordsync),
20304
	.datab(vcc),
20305
	.datac(vcc),
20306
	.datad(\fbuf[2][15] ),
20307
	.aclr(gnd),
20308
	.aload(gnd),
20309
	.clk(clk),
20310
	.cin(gnd),
20311
	.cascin(vcc),
20312
	.devclrn(devclrn),
20313
	.devpor(devpor),
20314
	.combout(),
20315
	.regout(\shift[4][7] ),
20316
	.cout(),
20317
	.cascout());
20318
// synopsys translate_off
20319
defparam \shift[4][7]~I .clock_enable_mode = "true";
20320
defparam \shift[4][7]~I .lut_mask = "ff00";
20321
defparam \shift[4][7]~I .operation_mode = "normal";
20322
defparam \shift[4][7]~I .output_mode = "reg_only";
20323
defparam \shift[4][7]~I .packed_mode = "false";
20324
// synopsys translate_on
20325
 
20326
// atom is at LC4_G19
20327
flex10ke_lcell \Mux10~22_I (
20328
// Equation(s):
20329
// \Mux10~22  = \Mux10~21  & (\shift[6][7]  # !\pixnumber_rtl_4|wysi_counter|q [2]) # !\Mux10~21  & (\pixnumber_rtl_4|wysi_counter|q [2] & \shift[4][7] )
20330
 
20331
	.dataa(\shift[6][7] ),
20332
	.datab(\Mux10~21 ),
20333
	.datac(\pixnumber_rtl_4|wysi_counter|q [2]),
20334
	.datad(\shift[4][7] ),
20335
	.aclr(gnd),
20336
	.aload(gnd),
20337
	.clk(gnd),
20338
	.cin(gnd),
20339
	.cascin(vcc),
20340
	.devclrn(devclrn),
20341
	.devpor(devpor),
20342
	.combout(\Mux10~22 ),
20343
	.regout(),
20344
	.cout(),
20345
	.cascout());
20346
// synopsys translate_off
20347
defparam \Mux10~22_I .clock_enable_mode = "false";
20348
defparam \Mux10~22_I .lut_mask = "bc8c";
20349
defparam \Mux10~22_I .operation_mode = "normal";
20350
defparam \Mux10~22_I .output_mode = "comb_only";
20351
defparam \Mux10~22_I .packed_mode = "false";
20352
// synopsys translate_on
20353
 
20354
// atom is at LC8_G22
20355
flex10ke_lcell \fbuf[3][4]~I (
20356
// Equation(s):
20357
// \fbuf[3][4]  = DFFEA(rddata_4, GLOBAL(\fclk~dataout ), , , \fbuf[3][14]~416 , , )
20358
 
20359
	.dataa(\fbuf[3][14]~416 ),
20360
	.datab(vcc),
20361
	.datac(vcc),
20362
	.datad(rddata_4),
20363
	.aclr(gnd),
20364
	.aload(gnd),
20365
	.clk(clk),
20366
	.cin(gnd),
20367
	.cascin(vcc),
20368
	.devclrn(devclrn),
20369
	.devpor(devpor),
20370
	.combout(),
20371
	.regout(\fbuf[3][4] ),
20372
	.cout(),
20373
	.cascout());
20374
// synopsys translate_off
20375
defparam \fbuf[3][4]~I .clock_enable_mode = "true";
20376
defparam \fbuf[3][4]~I .lut_mask = "ff00";
20377
defparam \fbuf[3][4]~I .operation_mode = "normal";
20378
defparam \fbuf[3][4]~I .output_mode = "reg_only";
20379
defparam \fbuf[3][4]~I .packed_mode = "false";
20380
// synopsys translate_on
20381
 
20382
// atom is at LC7_G22
20383
flex10ke_lcell \shift[7][4]~I (
20384
// Equation(s):
20385
// \shift[7][4]  = DFFEA(\fbuf[3][4] , GLOBAL(\fclk~dataout ), , , wordsync, , )
20386
 
20387
	.dataa(wordsync),
20388
	.datab(vcc),
20389
	.datac(vcc),
20390
	.datad(\fbuf[3][4] ),
20391
	.aclr(gnd),
20392
	.aload(gnd),
20393
	.clk(clk),
20394
	.cin(gnd),
20395
	.cascin(vcc),
20396
	.devclrn(devclrn),
20397
	.devpor(devpor),
20398
	.combout(),
20399
	.regout(\shift[7][4] ),
20400
	.cout(),
20401
	.cascout());
20402
// synopsys translate_off
20403
defparam \shift[7][4]~I .clock_enable_mode = "true";
20404
defparam \shift[7][4]~I .lut_mask = "ff00";
20405
defparam \shift[7][4]~I .operation_mode = "normal";
20406
defparam \shift[7][4]~I .output_mode = "reg_only";
20407
defparam \shift[7][4]~I .packed_mode = "false";
20408
// synopsys translate_on
20409
 
20410
// atom is at LC4_G22
20411
flex10ke_lcell \fbuf[2][4]~I (
20412
// Equation(s):
20413
// \fbuf[2][4]  = DFFEA(rddata_4, GLOBAL(\fclk~dataout ), , , \fbuf[2][14]~413 , , )
20414
 
20415
	.dataa(\fbuf[2][14]~413 ),
20416
	.datab(vcc),
20417
	.datac(vcc),
20418
	.datad(rddata_4),
20419
	.aclr(gnd),
20420
	.aload(gnd),
20421
	.clk(clk),
20422
	.cin(gnd),
20423
	.cascin(vcc),
20424
	.devclrn(devclrn),
20425
	.devpor(devpor),
20426
	.combout(),
20427
	.regout(\fbuf[2][4] ),
20428
	.cout(),
20429
	.cascout());
20430
// synopsys translate_off
20431
defparam \fbuf[2][4]~I .clock_enable_mode = "true";
20432
defparam \fbuf[2][4]~I .lut_mask = "ff00";
20433
defparam \fbuf[2][4]~I .operation_mode = "normal";
20434
defparam \fbuf[2][4]~I .output_mode = "reg_only";
20435
defparam \fbuf[2][4]~I .packed_mode = "false";
20436
// synopsys translate_on
20437
 
20438
// atom is at LC3_G22
20439
flex10ke_lcell \shift[5][4]~I (
20440
// Equation(s):
20441
// \shift[5][4]  = DFFEA(\fbuf[2][4] , GLOBAL(\fclk~dataout ), , , wordsync, , )
20442
 
20443
	.dataa(wordsync),
20444
	.datab(vcc),
20445
	.datac(vcc),
20446
	.datad(\fbuf[2][4] ),
20447
	.aclr(gnd),
20448
	.aload(gnd),
20449
	.clk(clk),
20450
	.cin(gnd),
20451
	.cascin(vcc),
20452
	.devclrn(devclrn),
20453
	.devpor(devpor),
20454
	.combout(),
20455
	.regout(\shift[5][4] ),
20456
	.cout(),
20457
	.cascout());
20458
// synopsys translate_off
20459
defparam \shift[5][4]~I .clock_enable_mode = "true";
20460
defparam \shift[5][4]~I .lut_mask = "ff00";
20461
defparam \shift[5][4]~I .operation_mode = "normal";
20462
defparam \shift[5][4]~I .output_mode = "reg_only";
20463
defparam \shift[5][4]~I .packed_mode = "false";
20464
// synopsys translate_on
20465
 
20466
// atom is at LC7_G20
20467
flex10ke_lcell \Mux15~28_I (
20468
// Equation(s):
20469
// \Mux15~28  = \pixnumber_rtl_4|wysi_counter|q [2] & (\shift[5][4]  # \pixnumber_rtl_4|wysi_counter|q [1]) # !\pixnumber_rtl_4|wysi_counter|q [2] & \shift[1][4]  & (!\pixnumber_rtl_4|wysi_counter|q [1])
20470
 
20471
	.dataa(\shift[1][4] ),
20472
	.datab(\pixnumber_rtl_4|wysi_counter|q [2]),
20473
	.datac(\shift[5][4] ),
20474
	.datad(\pixnumber_rtl_4|wysi_counter|q [1]),
20475
	.aclr(gnd),
20476
	.aload(gnd),
20477
	.clk(gnd),
20478
	.cin(gnd),
20479
	.cascin(vcc),
20480
	.devclrn(devclrn),
20481
	.devpor(devpor),
20482
	.combout(\Mux15~28 ),
20483
	.regout(),
20484
	.cout(),
20485
	.cascout());
20486
// synopsys translate_off
20487
defparam \Mux15~28_I .clock_enable_mode = "false";
20488
defparam \Mux15~28_I .lut_mask = "cce2";
20489
defparam \Mux15~28_I .operation_mode = "normal";
20490
defparam \Mux15~28_I .output_mode = "comb_only";
20491
defparam \Mux15~28_I .packed_mode = "false";
20492
// synopsys translate_on
20493
 
20494
// atom is at LC1_G22
20495
flex10ke_lcell \fbuf[1][4]~I (
20496
// Equation(s):
20497
// \fbuf[1][4]  = DFFEA(rddata_4, GLOBAL(\fclk~dataout ), , , \fbuf[1][14]~414 , , )
20498
 
20499
	.dataa(\fbuf[1][14]~414 ),
20500
	.datab(vcc),
20501
	.datac(vcc),
20502
	.datad(rddata_4),
20503
	.aclr(gnd),
20504
	.aload(gnd),
20505
	.clk(clk),
20506
	.cin(gnd),
20507
	.cascin(vcc),
20508
	.devclrn(devclrn),
20509
	.devpor(devpor),
20510
	.combout(),
20511
	.regout(\fbuf[1][4] ),
20512
	.cout(),
20513
	.cascout());
20514
// synopsys translate_off
20515
defparam \fbuf[1][4]~I .clock_enable_mode = "true";
20516
defparam \fbuf[1][4]~I .lut_mask = "ff00";
20517
defparam \fbuf[1][4]~I .operation_mode = "normal";
20518
defparam \fbuf[1][4]~I .output_mode = "reg_only";
20519
defparam \fbuf[1][4]~I .packed_mode = "false";
20520
// synopsys translate_on
20521
 
20522
// atom is at LC6_G22
20523
flex10ke_lcell \shift[3][4]~I (
20524
// Equation(s):
20525
// \shift[3][4]  = DFFEA(\fbuf[1][4] , GLOBAL(\fclk~dataout ), , , wordsync, , )
20526
 
20527
	.dataa(wordsync),
20528
	.datab(vcc),
20529
	.datac(vcc),
20530
	.datad(\fbuf[1][4] ),
20531
	.aclr(gnd),
20532
	.aload(gnd),
20533
	.clk(clk),
20534
	.cin(gnd),
20535
	.cascin(vcc),
20536
	.devclrn(devclrn),
20537
	.devpor(devpor),
20538
	.combout(),
20539
	.regout(\shift[3][4] ),
20540
	.cout(),
20541
	.cascout());
20542
// synopsys translate_off
20543
defparam \shift[3][4]~I .clock_enable_mode = "true";
20544
defparam \shift[3][4]~I .lut_mask = "ff00";
20545
defparam \shift[3][4]~I .operation_mode = "normal";
20546
defparam \shift[3][4]~I .output_mode = "reg_only";
20547
defparam \shift[3][4]~I .packed_mode = "false";
20548
// synopsys translate_on
20549
 
20550
// atom is at LC8_G20
20551
flex10ke_lcell \Mux15~29_I (
20552
// Equation(s):
20553
// \Mux15~29  = \Mux15~28  & (\shift[7][4]  # !\pixnumber_rtl_4|wysi_counter|q [1]) # !\Mux15~28  & (\pixnumber_rtl_4|wysi_counter|q [1] & \shift[3][4] )
20554
 
20555
	.dataa(\shift[7][4] ),
20556
	.datab(\Mux15~28 ),
20557
	.datac(\pixnumber_rtl_4|wysi_counter|q [1]),
20558
	.datad(\shift[3][4] ),
20559
	.aclr(gnd),
20560
	.aload(gnd),
20561
	.clk(gnd),
20562
	.cin(gnd),
20563
	.cascin(vcc),
20564
	.devclrn(devclrn),
20565
	.devpor(devpor),
20566
	.combout(\Mux15~29 ),
20567
	.regout(),
20568
	.cout(),
20569
	.cascout());
20570
// synopsys translate_off
20571
defparam \Mux15~29_I .clock_enable_mode = "false";
20572
defparam \Mux15~29_I .lut_mask = "bc8c";
20573
defparam \Mux15~29_I .operation_mode = "normal";
20574
defparam \Mux15~29_I .output_mode = "comb_only";
20575
defparam \Mux15~29_I .packed_mode = "false";
20576
// synopsys translate_on
20577
 
20578
// atom is at LC7_G1
20579
flex10ke_lcell \fbuf[1][9]~I (
20580
// Equation(s):
20581
// \fbuf[1][9]  = DFFEA(rddata_9, GLOBAL(\fclk~dataout ), , , \fbuf[1][14]~414 , , )
20582
 
20583
	.dataa(\fbuf[1][14]~414 ),
20584
	.datab(vcc),
20585
	.datac(vcc),
20586
	.datad(rddata_9),
20587
	.aclr(gnd),
20588
	.aload(gnd),
20589
	.clk(clk),
20590
	.cin(gnd),
20591
	.cascin(vcc),
20592
	.devclrn(devclrn),
20593
	.devpor(devpor),
20594
	.combout(),
20595
	.regout(\fbuf[1][9] ),
20596
	.cout(),
20597
	.cascout());
20598
// synopsys translate_off
20599
defparam \fbuf[1][9]~I .clock_enable_mode = "true";
20600
defparam \fbuf[1][9]~I .lut_mask = "ff00";
20601
defparam \fbuf[1][9]~I .operation_mode = "normal";
20602
defparam \fbuf[1][9]~I .output_mode = "reg_only";
20603
defparam \fbuf[1][9]~I .packed_mode = "false";
20604
// synopsys translate_on
20605
 
20606
// atom is at LC5_G1
20607
flex10ke_lcell \shift[2][1]~I (
20608
// Equation(s):
20609
// \shift[2][1]  = DFFEA(\fbuf[1][9] , GLOBAL(\fclk~dataout ), , , wordsync, , )
20610
 
20611
	.dataa(wordsync),
20612
	.datab(vcc),
20613
	.datac(vcc),
20614
	.datad(\fbuf[1][9] ),
20615
	.aclr(gnd),
20616
	.aload(gnd),
20617
	.clk(clk),
20618
	.cin(gnd),
20619
	.cascin(vcc),
20620
	.devclrn(devclrn),
20621
	.devpor(devpor),
20622
	.combout(),
20623
	.regout(\shift[2][1] ),
20624
	.cout(),
20625
	.cascout());
20626
// synopsys translate_off
20627
defparam \shift[2][1]~I .clock_enable_mode = "true";
20628
defparam \shift[2][1]~I .lut_mask = "ff00";
20629
defparam \shift[2][1]~I .operation_mode = "normal";
20630
defparam \shift[2][1]~I .output_mode = "reg_only";
20631
defparam \shift[2][1]~I .packed_mode = "false";
20632
// synopsys translate_on
20633
 
20634
// atom is at LC3_G8
20635
flex10ke_lcell \Mux15~25_I (
20636
// Equation(s):
20637
// \Mux15~25  = \pixnumber_rtl_4|wysi_counter|q [1] & (\shift[2][1]  # \pixnumber_rtl_4|wysi_counter|q [2]) # !\pixnumber_rtl_4|wysi_counter|q [1] & \shift[0][1]  & (!\pixnumber_rtl_4|wysi_counter|q [2])
20638
 
20639
	.dataa(\shift[0][1] ),
20640
	.datab(\pixnumber_rtl_4|wysi_counter|q [1]),
20641
	.datac(\shift[2][1] ),
20642
	.datad(\pixnumber_rtl_4|wysi_counter|q [2]),
20643
	.aclr(gnd),
20644
	.aload(gnd),
20645
	.clk(gnd),
20646
	.cin(gnd),
20647
	.cascin(vcc),
20648
	.devclrn(devclrn),
20649
	.devpor(devpor),
20650
	.combout(\Mux15~25 ),
20651
	.regout(),
20652
	.cout(),
20653
	.cascout());
20654
// synopsys translate_off
20655
defparam \Mux15~25_I .clock_enable_mode = "false";
20656
defparam \Mux15~25_I .lut_mask = "cce2";
20657
defparam \Mux15~25_I .operation_mode = "normal";
20658
defparam \Mux15~25_I .output_mode = "comb_only";
20659
defparam \Mux15~25_I .packed_mode = "false";
20660
// synopsys translate_on
20661
 
20662
// atom is at LC6_G8
20663
flex10ke_lcell \fbuf[2][9]~I (
20664
// Equation(s):
20665
// \fbuf[2][9]  = DFFEA(rddata_9, GLOBAL(\fclk~dataout ), , , \fbuf[2][14]~413 , , )
20666
 
20667
	.dataa(\fbuf[2][14]~413 ),
20668
	.datab(vcc),
20669
	.datac(vcc),
20670
	.datad(rddata_9),
20671
	.aclr(gnd),
20672
	.aload(gnd),
20673
	.clk(clk),
20674
	.cin(gnd),
20675
	.cascin(vcc),
20676
	.devclrn(devclrn),
20677
	.devpor(devpor),
20678
	.combout(),
20679
	.regout(\fbuf[2][9] ),
20680
	.cout(),
20681
	.cascout());
20682
// synopsys translate_off
20683
defparam \fbuf[2][9]~I .clock_enable_mode = "true";
20684
defparam \fbuf[2][9]~I .lut_mask = "ff00";
20685
defparam \fbuf[2][9]~I .operation_mode = "normal";
20686
defparam \fbuf[2][9]~I .output_mode = "reg_only";
20687
defparam \fbuf[2][9]~I .packed_mode = "false";
20688
// synopsys translate_on
20689
 
20690
// atom is at LC2_G8
20691
flex10ke_lcell \shift[4][1]~I (
20692
// Equation(s):
20693
// \shift[4][1]  = DFFEA(\fbuf[2][9] , GLOBAL(\fclk~dataout ), , , wordsync, , )
20694
 
20695
	.dataa(wordsync),
20696
	.datab(vcc),
20697
	.datac(vcc),
20698
	.datad(\fbuf[2][9] ),
20699
	.aclr(gnd),
20700
	.aload(gnd),
20701
	.clk(clk),
20702
	.cin(gnd),
20703
	.cascin(vcc),
20704
	.devclrn(devclrn),
20705
	.devpor(devpor),
20706
	.combout(),
20707
	.regout(\shift[4][1] ),
20708
	.cout(),
20709
	.cascout());
20710
// synopsys translate_off
20711
defparam \shift[4][1]~I .clock_enable_mode = "true";
20712
defparam \shift[4][1]~I .lut_mask = "ff00";
20713
defparam \shift[4][1]~I .operation_mode = "normal";
20714
defparam \shift[4][1]~I .output_mode = "reg_only";
20715
defparam \shift[4][1]~I .packed_mode = "false";
20716
// synopsys translate_on
20717
 
20718
// atom is at LC1_G8
20719
flex10ke_lcell \Mux15~26_I (
20720
// Equation(s):
20721
// \Mux15~26  = \Mux15~25  & (\shift[6][1]  # !\pixnumber_rtl_4|wysi_counter|q [2]) # !\Mux15~25  & (\pixnumber_rtl_4|wysi_counter|q [2] & \shift[4][1] )
20722
 
20723
	.dataa(\shift[6][1] ),
20724
	.datab(\Mux15~25 ),
20725
	.datac(\pixnumber_rtl_4|wysi_counter|q [2]),
20726
	.datad(\shift[4][1] ),
20727
	.aclr(gnd),
20728
	.aload(gnd),
20729
	.clk(gnd),
20730
	.cin(gnd),
20731
	.cascin(vcc),
20732
	.devclrn(devclrn),
20733
	.devpor(devpor),
20734
	.combout(\Mux15~26 ),
20735
	.regout(),
20736
	.cout(),
20737
	.cascout());
20738
// synopsys translate_off
20739
defparam \Mux15~26_I .clock_enable_mode = "false";
20740
defparam \Mux15~26_I .lut_mask = "bc8c";
20741
defparam \Mux15~26_I .operation_mode = "normal";
20742
defparam \Mux15~26_I .output_mode = "comb_only";
20743
defparam \Mux15~26_I .packed_mode = "false";
20744
// synopsys translate_on
20745
 
20746
// atom is at LC4_G23
20747
flex10ke_lcell \fbuf[1][12]~I (
20748
// Equation(s):
20749
// \fbuf[1][12]  = DFFEA(rddata_12, GLOBAL(\fclk~dataout ), , , \fbuf[1][14]~414 , , )
20750
 
20751
	.dataa(\fbuf[1][14]~414 ),
20752
	.datab(vcc),
20753
	.datac(vcc),
20754
	.datad(rddata_12),
20755
	.aclr(gnd),
20756
	.aload(gnd),
20757
	.clk(clk),
20758
	.cin(gnd),
20759
	.cascin(vcc),
20760
	.devclrn(devclrn),
20761
	.devpor(devpor),
20762
	.combout(),
20763
	.regout(\fbuf[1][12] ),
20764
	.cout(),
20765
	.cascout());
20766
// synopsys translate_off
20767
defparam \fbuf[1][12]~I .clock_enable_mode = "true";
20768
defparam \fbuf[1][12]~I .lut_mask = "ff00";
20769
defparam \fbuf[1][12]~I .operation_mode = "normal";
20770
defparam \fbuf[1][12]~I .output_mode = "reg_only";
20771
defparam \fbuf[1][12]~I .packed_mode = "false";
20772
// synopsys translate_on
20773
 
20774
// atom is at LC2_G23
20775
flex10ke_lcell \shift[2][4]~I (
20776
// Equation(s):
20777
// \shift[2][4]  = DFFEA(\fbuf[1][12] , GLOBAL(\fclk~dataout ), , , wordsync, , )
20778
 
20779
	.dataa(wordsync),
20780
	.datab(vcc),
20781
	.datac(vcc),
20782
	.datad(\fbuf[1][12] ),
20783
	.aclr(gnd),
20784
	.aload(gnd),
20785
	.clk(clk),
20786
	.cin(gnd),
20787
	.cascin(vcc),
20788
	.devclrn(devclrn),
20789
	.devpor(devpor),
20790
	.combout(),
20791
	.regout(\shift[2][4] ),
20792
	.cout(),
20793
	.cascout());
20794
// synopsys translate_off
20795
defparam \shift[2][4]~I .clock_enable_mode = "true";
20796
defparam \shift[2][4]~I .lut_mask = "ff00";
20797
defparam \shift[2][4]~I .operation_mode = "normal";
20798
defparam \shift[2][4]~I .output_mode = "reg_only";
20799
defparam \shift[2][4]~I .packed_mode = "false";
20800
// synopsys translate_on
20801
 
20802
// atom is at LC3_G20
20803
flex10ke_lcell \Mux15~23_I (
20804
// Equation(s):
20805
// \Mux15~23  = \pixnumber_rtl_4|wysi_counter|q [1] & (\shift[2][4]  # \pixnumber_rtl_4|wysi_counter|q [2]) # !\pixnumber_rtl_4|wysi_counter|q [1] & \shift[0][4]  & (!\pixnumber_rtl_4|wysi_counter|q [2])
20806
 
20807
	.dataa(\shift[0][4] ),
20808
	.datab(\pixnumber_rtl_4|wysi_counter|q [1]),
20809
	.datac(\shift[2][4] ),
20810
	.datad(\pixnumber_rtl_4|wysi_counter|q [2]),
20811
	.aclr(gnd),
20812
	.aload(gnd),
20813
	.clk(gnd),
20814
	.cin(gnd),
20815
	.cascin(vcc),
20816
	.devclrn(devclrn),
20817
	.devpor(devpor),
20818
	.combout(\Mux15~23 ),
20819
	.regout(),
20820
	.cout(),
20821
	.cascout());
20822
// synopsys translate_off
20823
defparam \Mux15~23_I .clock_enable_mode = "false";
20824
defparam \Mux15~23_I .lut_mask = "cce2";
20825
defparam \Mux15~23_I .operation_mode = "normal";
20826
defparam \Mux15~23_I .output_mode = "comb_only";
20827
defparam \Mux15~23_I .packed_mode = "false";
20828
// synopsys translate_on
20829
 
20830
// atom is at LC1_G23
20831
flex10ke_lcell \fbuf[2][12]~I (
20832
// Equation(s):
20833
// \fbuf[2][12]  = DFFEA(rddata_12, GLOBAL(\fclk~dataout ), , , \fbuf[2][14]~413 , , )
20834
 
20835
	.dataa(\fbuf[2][14]~413 ),
20836
	.datab(vcc),
20837
	.datac(vcc),
20838
	.datad(rddata_12),
20839
	.aclr(gnd),
20840
	.aload(gnd),
20841
	.clk(clk),
20842
	.cin(gnd),
20843
	.cascin(vcc),
20844
	.devclrn(devclrn),
20845
	.devpor(devpor),
20846
	.combout(),
20847
	.regout(\fbuf[2][12] ),
20848
	.cout(),
20849
	.cascout());
20850
// synopsys translate_off
20851
defparam \fbuf[2][12]~I .clock_enable_mode = "true";
20852
defparam \fbuf[2][12]~I .lut_mask = "ff00";
20853
defparam \fbuf[2][12]~I .operation_mode = "normal";
20854
defparam \fbuf[2][12]~I .output_mode = "reg_only";
20855
defparam \fbuf[2][12]~I .packed_mode = "false";
20856
// synopsys translate_on
20857
 
20858
// atom is at LC8_G23
20859
flex10ke_lcell \shift[4][4]~I (
20860
// Equation(s):
20861
// \shift[4][4]  = DFFEA(\fbuf[2][12] , GLOBAL(\fclk~dataout ), , , wordsync, , )
20862
 
20863
	.dataa(wordsync),
20864
	.datab(vcc),
20865
	.datac(vcc),
20866
	.datad(\fbuf[2][12] ),
20867
	.aclr(gnd),
20868
	.aload(gnd),
20869
	.clk(clk),
20870
	.cin(gnd),
20871
	.cascin(vcc),
20872
	.devclrn(devclrn),
20873
	.devpor(devpor),
20874
	.combout(),
20875
	.regout(\shift[4][4] ),
20876
	.cout(),
20877
	.cascout());
20878
// synopsys translate_off
20879
defparam \shift[4][4]~I .clock_enable_mode = "true";
20880
defparam \shift[4][4]~I .lut_mask = "ff00";
20881
defparam \shift[4][4]~I .operation_mode = "normal";
20882
defparam \shift[4][4]~I .output_mode = "reg_only";
20883
defparam \shift[4][4]~I .packed_mode = "false";
20884
// synopsys translate_on
20885
 
20886
// atom is at LC4_G20
20887
flex10ke_lcell \Mux15~24_I (
20888
// Equation(s):
20889
// \Mux15~24  = \Mux15~23  & (\shift[6][4]  # !\pixnumber_rtl_4|wysi_counter|q [2]) # !\Mux15~23  & (\pixnumber_rtl_4|wysi_counter|q [2] & \shift[4][4] )
20890
 
20891
	.dataa(\shift[6][4] ),
20892
	.datab(\Mux15~23 ),
20893
	.datac(\pixnumber_rtl_4|wysi_counter|q [2]),
20894
	.datad(\shift[4][4] ),
20895
	.aclr(gnd),
20896
	.aload(gnd),
20897
	.clk(gnd),
20898
	.cin(gnd),
20899
	.cascin(vcc),
20900
	.devclrn(devclrn),
20901
	.devpor(devpor),
20902
	.combout(\Mux15~24 ),
20903
	.regout(),
20904
	.cout(),
20905
	.cascout());
20906
// synopsys translate_off
20907
defparam \Mux15~24_I .clock_enable_mode = "false";
20908
defparam \Mux15~24_I .lut_mask = "bc8c";
20909
defparam \Mux15~24_I .operation_mode = "normal";
20910
defparam \Mux15~24_I .output_mode = "comb_only";
20911
defparam \Mux15~24_I .packed_mode = "false";
20912
// synopsys translate_on
20913
 
20914
// atom is at LC6_G20
20915
flex10ke_lcell \Mux15~27_I (
20916
// Equation(s):
20917
// \Mux15~27  = \pixnumber_rtl_4|wysi_counter|q [0] & (\Mux15~24  # \pixnumber_rtl_4|wysi_counter|q [3]) # !\pixnumber_rtl_4|wysi_counter|q [0] & \Mux15~26  & (!\pixnumber_rtl_4|wysi_counter|q [3])
20918
 
20919
	.dataa(\Mux15~26 ),
20920
	.datab(\pixnumber_rtl_4|wysi_counter|q [0]),
20921
	.datac(\Mux15~24 ),
20922
	.datad(\pixnumber_rtl_4|wysi_counter|q [3]),
20923
	.aclr(gnd),
20924
	.aload(gnd),
20925
	.clk(gnd),
20926
	.cin(gnd),
20927
	.cascin(vcc),
20928
	.devclrn(devclrn),
20929
	.devpor(devpor),
20930
	.combout(\Mux15~27 ),
20931
	.regout(),
20932
	.cout(),
20933
	.cascout());
20934
// synopsys translate_off
20935
defparam \Mux15~27_I .clock_enable_mode = "false";
20936
defparam \Mux15~27_I .lut_mask = "cce2";
20937
defparam \Mux15~27_I .operation_mode = "normal";
20938
defparam \Mux15~27_I .output_mode = "comb_only";
20939
defparam \Mux15~27_I .packed_mode = "false";
20940
// synopsys translate_on
20941
 
20942
// atom is at LC8_G12
20943
flex10ke_lcell \fbuf[3][1]~I (
20944
// Equation(s):
20945
// \fbuf[3][1]  = DFFEA(rddata_1, GLOBAL(\fclk~dataout ), , , \fbuf[3][14]~416 , , )
20946
 
20947
	.dataa(\fbuf[3][14]~416 ),
20948
	.datab(vcc),
20949
	.datac(vcc),
20950
	.datad(rddata_1),
20951
	.aclr(gnd),
20952
	.aload(gnd),
20953
	.clk(clk),
20954
	.cin(gnd),
20955
	.cascin(vcc),
20956
	.devclrn(devclrn),
20957
	.devpor(devpor),
20958
	.combout(),
20959
	.regout(\fbuf[3][1] ),
20960
	.cout(),
20961
	.cascout());
20962
// synopsys translate_off
20963
defparam \fbuf[3][1]~I .clock_enable_mode = "true";
20964
defparam \fbuf[3][1]~I .lut_mask = "ff00";
20965
defparam \fbuf[3][1]~I .operation_mode = "normal";
20966
defparam \fbuf[3][1]~I .output_mode = "reg_only";
20967
defparam \fbuf[3][1]~I .packed_mode = "false";
20968
// synopsys translate_on
20969
 
20970
// atom is at LC5_G12
20971
flex10ke_lcell \shift[7][1]~I (
20972
// Equation(s):
20973
// \shift[7][1]  = DFFEA(\fbuf[3][1] , GLOBAL(\fclk~dataout ), , , wordsync, , )
20974
 
20975
	.dataa(wordsync),
20976
	.datab(vcc),
20977
	.datac(vcc),
20978
	.datad(\fbuf[3][1] ),
20979
	.aclr(gnd),
20980
	.aload(gnd),
20981
	.clk(clk),
20982
	.cin(gnd),
20983
	.cascin(vcc),
20984
	.devclrn(devclrn),
20985
	.devpor(devpor),
20986
	.combout(),
20987
	.regout(\shift[7][1] ),
20988
	.cout(),
20989
	.cascout());
20990
// synopsys translate_off
20991
defparam \shift[7][1]~I .clock_enable_mode = "true";
20992
defparam \shift[7][1]~I .lut_mask = "ff00";
20993
defparam \shift[7][1]~I .operation_mode = "normal";
20994
defparam \shift[7][1]~I .output_mode = "reg_only";
20995
defparam \shift[7][1]~I .packed_mode = "false";
20996
// synopsys translate_on
20997
 
20998
// atom is at LC6_G1
20999
flex10ke_lcell \fbuf[1][1]~I (
21000
// Equation(s):
21001
// \fbuf[1][1]  = DFFEA(rddata_1, GLOBAL(\fclk~dataout ), , , \fbuf[1][14]~414 , , )
21002
 
21003
	.dataa(\fbuf[1][14]~414 ),
21004
	.datab(vcc),
21005
	.datac(vcc),
21006
	.datad(rddata_1),
21007
	.aclr(gnd),
21008
	.aload(gnd),
21009
	.clk(clk),
21010
	.cin(gnd),
21011
	.cascin(vcc),
21012
	.devclrn(devclrn),
21013
	.devpor(devpor),
21014
	.combout(),
21015
	.regout(\fbuf[1][1] ),
21016
	.cout(),
21017
	.cascout());
21018
// synopsys translate_off
21019
defparam \fbuf[1][1]~I .clock_enable_mode = "true";
21020
defparam \fbuf[1][1]~I .lut_mask = "ff00";
21021
defparam \fbuf[1][1]~I .operation_mode = "normal";
21022
defparam \fbuf[1][1]~I .output_mode = "reg_only";
21023
defparam \fbuf[1][1]~I .packed_mode = "false";
21024
// synopsys translate_on
21025
 
21026
// atom is at LC3_G1
21027
flex10ke_lcell \shift[3][1]~I (
21028
// Equation(s):
21029
// \shift[3][1]  = DFFEA(\fbuf[1][1] , GLOBAL(\fclk~dataout ), , , wordsync, , )
21030
 
21031
	.dataa(wordsync),
21032
	.datab(vcc),
21033
	.datac(vcc),
21034
	.datad(\fbuf[1][1] ),
21035
	.aclr(gnd),
21036
	.aload(gnd),
21037
	.clk(clk),
21038
	.cin(gnd),
21039
	.cascin(vcc),
21040
	.devclrn(devclrn),
21041
	.devpor(devpor),
21042
	.combout(),
21043
	.regout(\shift[3][1] ),
21044
	.cout(),
21045
	.cascout());
21046
// synopsys translate_off
21047
defparam \shift[3][1]~I .clock_enable_mode = "true";
21048
defparam \shift[3][1]~I .lut_mask = "ff00";
21049
defparam \shift[3][1]~I .operation_mode = "normal";
21050
defparam \shift[3][1]~I .output_mode = "reg_only";
21051
defparam \shift[3][1]~I .packed_mode = "false";
21052
// synopsys translate_on
21053
 
21054
// atom is at LC4_G12
21055
flex10ke_lcell \Mux15~21_I (
21056
// Equation(s):
21057
// \Mux15~21  = \pixnumber_rtl_4|wysi_counter|q [1] & (\shift[3][1]  # \pixnumber_rtl_4|wysi_counter|q [2]) # !\pixnumber_rtl_4|wysi_counter|q [1] & \shift[1][1]  & (!\pixnumber_rtl_4|wysi_counter|q [2])
21058
 
21059
	.dataa(\shift[1][1] ),
21060
	.datab(\pixnumber_rtl_4|wysi_counter|q [1]),
21061
	.datac(\shift[3][1] ),
21062
	.datad(\pixnumber_rtl_4|wysi_counter|q [2]),
21063
	.aclr(gnd),
21064
	.aload(gnd),
21065
	.clk(gnd),
21066
	.cin(gnd),
21067
	.cascin(vcc),
21068
	.devclrn(devclrn),
21069
	.devpor(devpor),
21070
	.combout(\Mux15~21 ),
21071
	.regout(),
21072
	.cout(),
21073
	.cascout());
21074
// synopsys translate_off
21075
defparam \Mux15~21_I .clock_enable_mode = "false";
21076
defparam \Mux15~21_I .lut_mask = "cce2";
21077
defparam \Mux15~21_I .operation_mode = "normal";
21078
defparam \Mux15~21_I .output_mode = "comb_only";
21079
defparam \Mux15~21_I .packed_mode = "false";
21080
// synopsys translate_on
21081
 
21082
// atom is at LC6_G12
21083
flex10ke_lcell \fbuf[2][1]~I (
21084
// Equation(s):
21085
// \fbuf[2][1]  = DFFEA(rddata_1, GLOBAL(\fclk~dataout ), , , \fbuf[2][14]~413 , , )
21086
 
21087
	.dataa(\fbuf[2][14]~413 ),
21088
	.datab(vcc),
21089
	.datac(vcc),
21090
	.datad(rddata_1),
21091
	.aclr(gnd),
21092
	.aload(gnd),
21093
	.clk(clk),
21094
	.cin(gnd),
21095
	.cascin(vcc),
21096
	.devclrn(devclrn),
21097
	.devpor(devpor),
21098
	.combout(),
21099
	.regout(\fbuf[2][1] ),
21100
	.cout(),
21101
	.cascout());
21102
// synopsys translate_off
21103
defparam \fbuf[2][1]~I .clock_enable_mode = "true";
21104
defparam \fbuf[2][1]~I .lut_mask = "ff00";
21105
defparam \fbuf[2][1]~I .operation_mode = "normal";
21106
defparam \fbuf[2][1]~I .output_mode = "reg_only";
21107
defparam \fbuf[2][1]~I .packed_mode = "false";
21108
// synopsys translate_on
21109
 
21110
// atom is at LC3_G12
21111
flex10ke_lcell \shift[5][1]~I (
21112
// Equation(s):
21113
// \shift[5][1]  = DFFEA(\fbuf[2][1] , GLOBAL(\fclk~dataout ), , , wordsync, , )
21114
 
21115
	.dataa(wordsync),
21116
	.datab(vcc),
21117
	.datac(vcc),
21118
	.datad(\fbuf[2][1] ),
21119
	.aclr(gnd),
21120
	.aload(gnd),
21121
	.clk(clk),
21122
	.cin(gnd),
21123
	.cascin(vcc),
21124
	.devclrn(devclrn),
21125
	.devpor(devpor),
21126
	.combout(),
21127
	.regout(\shift[5][1] ),
21128
	.cout(),
21129
	.cascout());
21130
// synopsys translate_off
21131
defparam \shift[5][1]~I .clock_enable_mode = "true";
21132
defparam \shift[5][1]~I .lut_mask = "ff00";
21133
defparam \shift[5][1]~I .operation_mode = "normal";
21134
defparam \shift[5][1]~I .output_mode = "reg_only";
21135
defparam \shift[5][1]~I .packed_mode = "false";
21136
// synopsys translate_on
21137
 
21138
// atom is at LC2_G12
21139
flex10ke_lcell \Mux15~22_I (
21140
// Equation(s):
21141
// \Mux15~22  = \Mux15~21  & (\shift[7][1]  # !\pixnumber_rtl_4|wysi_counter|q [2]) # !\Mux15~21  & (\pixnumber_rtl_4|wysi_counter|q [2] & \shift[5][1] )
21142
 
21143
	.dataa(\shift[7][1] ),
21144
	.datab(\Mux15~21 ),
21145
	.datac(\pixnumber_rtl_4|wysi_counter|q [2]),
21146
	.datad(\shift[5][1] ),
21147
	.aclr(gnd),
21148
	.aload(gnd),
21149
	.clk(gnd),
21150
	.cin(gnd),
21151
	.cascin(vcc),
21152
	.devclrn(devclrn),
21153
	.devpor(devpor),
21154
	.combout(\Mux15~22 ),
21155
	.regout(),
21156
	.cout(),
21157
	.cascout());
21158
// synopsys translate_off
21159
defparam \Mux15~22_I .clock_enable_mode = "false";
21160
defparam \Mux15~22_I .lut_mask = "bc8c";
21161
defparam \Mux15~22_I .operation_mode = "normal";
21162
defparam \Mux15~22_I .output_mode = "comb_only";
21163
defparam \Mux15~22_I .packed_mode = "false";
21164
// synopsys translate_on
21165
 
21166
// atom is at LC7_G8
21167
flex10ke_lcell \fbuf[0][9]~I (
21168
// Equation(s):
21169
// \fbuf[0][9]  = DFFEA(rddata_9, GLOBAL(\fclk~dataout ), , , \fbuf[0][14]~415 , , )
21170
 
21171
	.dataa(\fbuf[0][14]~415 ),
21172
	.datab(vcc),
21173
	.datac(vcc),
21174
	.datad(rddata_9),
21175
	.aclr(gnd),
21176
	.aload(gnd),
21177
	.clk(clk),
21178
	.cin(gnd),
21179
	.cascin(vcc),
21180
	.devclrn(devclrn),
21181
	.devpor(devpor),
21182
	.combout(),
21183
	.regout(\fbuf[0][9] ),
21184
	.cout(),
21185
	.cascout());
21186
// synopsys translate_off
21187
defparam \fbuf[0][9]~I .clock_enable_mode = "true";
21188
defparam \fbuf[0][9]~I .lut_mask = "ff00";
21189
defparam \fbuf[0][9]~I .operation_mode = "normal";
21190
defparam \fbuf[0][9]~I .output_mode = "reg_only";
21191
defparam \fbuf[0][9]~I .packed_mode = "false";
21192
// synopsys translate_on
21193
 
21194
// atom is at LC4_G8
21195
flex10ke_lcell \shift[0][1]~I (
21196
// Equation(s):
21197
// \shift[0][1]  = DFFEA(\fbuf[0][9] , GLOBAL(\fclk~dataout ), , , wordsync, , )
21198
 
21199
	.dataa(wordsync),
21200
	.datab(vcc),
21201
	.datac(vcc),
21202
	.datad(\fbuf[0][9] ),
21203
	.aclr(gnd),
21204
	.aload(gnd),
21205
	.clk(clk),
21206
	.cin(gnd),
21207
	.cascin(vcc),
21208
	.devclrn(devclrn),
21209
	.devpor(devpor),
21210
	.combout(),
21211
	.regout(\shift[0][1] ),
21212
	.cout(),
21213
	.cascout());
21214
// synopsys translate_off
21215
defparam \shift[0][1]~I .clock_enable_mode = "true";
21216
defparam \shift[0][1]~I .lut_mask = "ff00";
21217
defparam \shift[0][1]~I .operation_mode = "normal";
21218
defparam \shift[0][1]~I .output_mode = "reg_only";
21219
defparam \shift[0][1]~I .packed_mode = "false";
21220
// synopsys translate_on
21221
 
21222
// atom is at LC7_G12
21223
flex10ke_lcell \fbuf[0][1]~I (
21224
// Equation(s):
21225
// \fbuf[0][1]  = DFFEA(rddata_1, GLOBAL(\fclk~dataout ), , , \fbuf[0][14]~415 , , )
21226
 
21227
	.dataa(\fbuf[0][14]~415 ),
21228
	.datab(vcc),
21229
	.datac(vcc),
21230
	.datad(rddata_1),
21231
	.aclr(gnd),
21232
	.aload(gnd),
21233
	.clk(clk),
21234
	.cin(gnd),
21235
	.cascin(vcc),
21236
	.devclrn(devclrn),
21237
	.devpor(devpor),
21238
	.combout(),
21239
	.regout(\fbuf[0][1] ),
21240
	.cout(),
21241
	.cascout());
21242
// synopsys translate_off
21243
defparam \fbuf[0][1]~I .clock_enable_mode = "true";
21244
defparam \fbuf[0][1]~I .lut_mask = "ff00";
21245
defparam \fbuf[0][1]~I .operation_mode = "normal";
21246
defparam \fbuf[0][1]~I .output_mode = "reg_only";
21247
defparam \fbuf[0][1]~I .packed_mode = "false";
21248
// synopsys translate_on
21249
 
21250
// atom is at LC1_G12
21251
flex10ke_lcell \shift[1][1]~I (
21252
// Equation(s):
21253
// \shift[1][1]  = DFFEA(\fbuf[0][1] , GLOBAL(\fclk~dataout ), , , wordsync, , )
21254
 
21255
	.dataa(wordsync),
21256
	.datab(vcc),
21257
	.datac(vcc),
21258
	.datad(\fbuf[0][1] ),
21259
	.aclr(gnd),
21260
	.aload(gnd),
21261
	.clk(clk),
21262
	.cin(gnd),
21263
	.cascin(vcc),
21264
	.devclrn(devclrn),
21265
	.devpor(devpor),
21266
	.combout(),
21267
	.regout(\shift[1][1] ),
21268
	.cout(),
21269
	.cascout());
21270
// synopsys translate_off
21271
defparam \shift[1][1]~I .clock_enable_mode = "true";
21272
defparam \shift[1][1]~I .lut_mask = "ff00";
21273
defparam \shift[1][1]~I .operation_mode = "normal";
21274
defparam \shift[1][1]~I .output_mode = "reg_only";
21275
defparam \shift[1][1]~I .packed_mode = "false";
21276
// synopsys translate_on
21277
 
21278
// atom is at LC5_G2
21279
flex10ke_lcell \pixbyte~37_I (
21280
// Equation(s):
21281
// \pixbyte~37  = \pixnumber_rtl_4|wysi_counter|q [3] & (\shift[1][1] ) # !\pixnumber_rtl_4|wysi_counter|q [3] & \shift[0][1] 
21282
 
21283
	.dataa(vcc),
21284
	.datab(\pixnumber_rtl_4|wysi_counter|q [3]),
21285
	.datac(\shift[0][1] ),
21286
	.datad(\shift[1][1] ),
21287
	.aclr(gnd),
21288
	.aload(gnd),
21289
	.clk(gnd),
21290
	.cin(gnd),
21291
	.cascin(vcc),
21292
	.devclrn(devclrn),
21293
	.devpor(devpor),
21294
	.combout(\pixbyte~37 ),
21295
	.regout(),
21296
	.cout(),
21297
	.cascout());
21298
// synopsys translate_off
21299
defparam \pixbyte~37_I .clock_enable_mode = "false";
21300
defparam \pixbyte~37_I .lut_mask = "fc30";
21301
defparam \pixbyte~37_I .operation_mode = "normal";
21302
defparam \pixbyte~37_I .output_mode = "comb_only";
21303
defparam \pixbyte~37_I .packed_mode = "false";
21304
// synopsys translate_on
21305
 
21306
// atom is at LC6_G2
21307
flex10ke_lcell \Mux8~13_I (
21308
// Equation(s):
21309
// \Mux8~13  = \pixnumber_rtl_4|wysi_counter|q [1] & (\pixbyte~37  # \pixnumber_rtl_4|wysi_counter|q [0]) # !\pixnumber_rtl_4|wysi_counter|q [1] & \pixbyte~38  & (!\pixnumber_rtl_4|wysi_counter|q [0])
21310
 
21311
	.dataa(\pixbyte~38 ),
21312
	.datab(\pixnumber_rtl_4|wysi_counter|q [1]),
21313
	.datac(\pixbyte~37 ),
21314
	.datad(\pixnumber_rtl_4|wysi_counter|q [0]),
21315
	.aclr(gnd),
21316
	.aload(gnd),
21317
	.clk(gnd),
21318
	.cin(gnd),
21319
	.cascin(vcc),
21320
	.devclrn(devclrn),
21321
	.devpor(devpor),
21322
	.combout(\Mux8~13 ),
21323
	.regout(),
21324
	.cout(),
21325
	.cascout());
21326
// synopsys translate_off
21327
defparam \Mux8~13_I .clock_enable_mode = "false";
21328
defparam \Mux8~13_I .lut_mask = "cce2";
21329
defparam \Mux8~13_I .operation_mode = "normal";
21330
defparam \Mux8~13_I .output_mode = "comb_only";
21331
defparam \Mux8~13_I .packed_mode = "false";
21332
// synopsys translate_on
21333
 
21334
// atom is at LC6_G25
21335
flex10ke_lcell \fbuf[0][10]~I (
21336
// Equation(s):
21337
// \fbuf[0][10]  = DFFEA(rddata_10, GLOBAL(\fclk~dataout ), , , \fbuf[0][14]~415 , , )
21338
 
21339
	.dataa(\fbuf[0][14]~415 ),
21340
	.datab(vcc),
21341
	.datac(vcc),
21342
	.datad(rddata_10),
21343
	.aclr(gnd),
21344
	.aload(gnd),
21345
	.clk(clk),
21346
	.cin(gnd),
21347
	.cascin(vcc),
21348
	.devclrn(devclrn),
21349
	.devpor(devpor),
21350
	.combout(),
21351
	.regout(\fbuf[0][10] ),
21352
	.cout(),
21353
	.cascout());
21354
// synopsys translate_off
21355
defparam \fbuf[0][10]~I .clock_enable_mode = "true";
21356
defparam \fbuf[0][10]~I .lut_mask = "ff00";
21357
defparam \fbuf[0][10]~I .operation_mode = "normal";
21358
defparam \fbuf[0][10]~I .output_mode = "reg_only";
21359
defparam \fbuf[0][10]~I .packed_mode = "false";
21360
// synopsys translate_on
21361
 
21362
// atom is at LC1_G7
21363
flex10ke_lcell \shift[0][2]~I (
21364
// Equation(s):
21365
// \shift[0][2]  = DFFEA(\fbuf[0][10] , GLOBAL(\fclk~dataout ), , , wordsync, , )
21366
 
21367
	.dataa(wordsync),
21368
	.datab(vcc),
21369
	.datac(vcc),
21370
	.datad(\fbuf[0][10] ),
21371
	.aclr(gnd),
21372
	.aload(gnd),
21373
	.clk(clk),
21374
	.cin(gnd),
21375
	.cascin(vcc),
21376
	.devclrn(devclrn),
21377
	.devpor(devpor),
21378
	.combout(),
21379
	.regout(\shift[0][2] ),
21380
	.cout(),
21381
	.cascout());
21382
// synopsys translate_off
21383
defparam \shift[0][2]~I .clock_enable_mode = "true";
21384
defparam \shift[0][2]~I .lut_mask = "ff00";
21385
defparam \shift[0][2]~I .operation_mode = "normal";
21386
defparam \shift[0][2]~I .output_mode = "reg_only";
21387
defparam \shift[0][2]~I .packed_mode = "false";
21388
// synopsys translate_on
21389
 
21390
// atom is at LC5_G13
21391
flex10ke_lcell \fbuf[0][2]~I (
21392
// Equation(s):
21393
// \fbuf[0][2]  = DFFEA(rddata_2, GLOBAL(\fclk~dataout ), , , \fbuf[0][14]~415 , , )
21394
 
21395
	.dataa(\fbuf[0][14]~415 ),
21396
	.datab(vcc),
21397
	.datac(vcc),
21398
	.datad(rddata_2),
21399
	.aclr(gnd),
21400
	.aload(gnd),
21401
	.clk(clk),
21402
	.cin(gnd),
21403
	.cascin(vcc),
21404
	.devclrn(devclrn),
21405
	.devpor(devpor),
21406
	.combout(),
21407
	.regout(\fbuf[0][2] ),
21408
	.cout(),
21409
	.cascout());
21410
// synopsys translate_off
21411
defparam \fbuf[0][2]~I .clock_enable_mode = "true";
21412
defparam \fbuf[0][2]~I .lut_mask = "ff00";
21413
defparam \fbuf[0][2]~I .operation_mode = "normal";
21414
defparam \fbuf[0][2]~I .output_mode = "reg_only";
21415
defparam \fbuf[0][2]~I .packed_mode = "false";
21416
// synopsys translate_on
21417
 
21418
// atom is at LC2_G13
21419
flex10ke_lcell \shift[1][2]~I (
21420
// Equation(s):
21421
// \shift[1][2]  = DFFEA(\fbuf[0][2] , GLOBAL(\fclk~dataout ), , , wordsync, , )
21422
 
21423
	.dataa(wordsync),
21424
	.datab(vcc),
21425
	.datac(vcc),
21426
	.datad(\fbuf[0][2] ),
21427
	.aclr(gnd),
21428
	.aload(gnd),
21429
	.clk(clk),
21430
	.cin(gnd),
21431
	.cascin(vcc),
21432
	.devclrn(devclrn),
21433
	.devpor(devpor),
21434
	.combout(),
21435
	.regout(\shift[1][2] ),
21436
	.cout(),
21437
	.cascout());
21438
// synopsys translate_off
21439
defparam \shift[1][2]~I .clock_enable_mode = "true";
21440
defparam \shift[1][2]~I .lut_mask = "ff00";
21441
defparam \shift[1][2]~I .operation_mode = "normal";
21442
defparam \shift[1][2]~I .output_mode = "reg_only";
21443
defparam \shift[1][2]~I .packed_mode = "false";
21444
// synopsys translate_on
21445
 
21446
// atom is at LC8_G7
21447
flex10ke_lcell \pixbyte~36_I (
21448
// Equation(s):
21449
// \pixbyte~36  = \pixnumber_rtl_4|wysi_counter|q [3] & (\shift[1][2] ) # !\pixnumber_rtl_4|wysi_counter|q [3] & \shift[0][2] 
21450
 
21451
	.dataa(vcc),
21452
	.datab(\pixnumber_rtl_4|wysi_counter|q [3]),
21453
	.datac(\shift[0][2] ),
21454
	.datad(\shift[1][2] ),
21455
	.aclr(gnd),
21456
	.aload(gnd),
21457
	.clk(gnd),
21458
	.cin(gnd),
21459
	.cascin(vcc),
21460
	.devclrn(devclrn),
21461
	.devpor(devpor),
21462
	.combout(\pixbyte~36 ),
21463
	.regout(),
21464
	.cout(),
21465
	.cascout());
21466
// synopsys translate_off
21467
defparam \pixbyte~36_I .clock_enable_mode = "false";
21468
defparam \pixbyte~36_I .lut_mask = "fc30";
21469
defparam \pixbyte~36_I .operation_mode = "normal";
21470
defparam \pixbyte~36_I .output_mode = "comb_only";
21471
defparam \pixbyte~36_I .packed_mode = "false";
21472
// synopsys translate_on
21473
 
21474
// atom is at LC7_G2
21475
flex10ke_lcell \Mux8~14_I (
21476
// Equation(s):
21477
// \Mux8~14  = \Mux8~13  & (\pixbyte~39  # !\pixnumber_rtl_4|wysi_counter|q [0]) # !\Mux8~13  & (\pixnumber_rtl_4|wysi_counter|q [0] & \pixbyte~36 )
21478
 
21479
	.dataa(\pixbyte~39 ),
21480
	.datab(\Mux8~13 ),
21481
	.datac(\pixnumber_rtl_4|wysi_counter|q [0]),
21482
	.datad(\pixbyte~36 ),
21483
	.aclr(gnd),
21484
	.aload(gnd),
21485
	.clk(gnd),
21486
	.cin(gnd),
21487
	.cascin(vcc),
21488
	.devclrn(devclrn),
21489
	.devpor(devpor),
21490
	.combout(\Mux8~14 ),
21491
	.regout(),
21492
	.cout(),
21493
	.cascout());
21494
// synopsys translate_off
21495
defparam \Mux8~14_I .clock_enable_mode = "false";
21496
defparam \Mux8~14_I .lut_mask = "bc8c";
21497
defparam \Mux8~14_I .operation_mode = "normal";
21498
defparam \Mux8~14_I .output_mode = "comb_only";
21499
defparam \Mux8~14_I .packed_mode = "false";
21500
// synopsys translate_on
21501
 
21502
// atom is at LC3_G5
21503
flex10ke_lcell \fbuf[0][13]~I (
21504
// Equation(s):
21505
// \fbuf[0][13]  = DFFEA(rddata_13, GLOBAL(\fclk~dataout ), , , \fbuf[0][14]~415 , , )
21506
 
21507
	.dataa(\fbuf[0][14]~415 ),
21508
	.datab(vcc),
21509
	.datac(vcc),
21510
	.datad(rddata_13),
21511
	.aclr(gnd),
21512
	.aload(gnd),
21513
	.clk(clk),
21514
	.cin(gnd),
21515
	.cascin(vcc),
21516
	.devclrn(devclrn),
21517
	.devpor(devpor),
21518
	.combout(),
21519
	.regout(\fbuf[0][13] ),
21520
	.cout(),
21521
	.cascout());
21522
// synopsys translate_off
21523
defparam \fbuf[0][13]~I .clock_enable_mode = "true";
21524
defparam \fbuf[0][13]~I .lut_mask = "ff00";
21525
defparam \fbuf[0][13]~I .operation_mode = "normal";
21526
defparam \fbuf[0][13]~I .output_mode = "reg_only";
21527
defparam \fbuf[0][13]~I .packed_mode = "false";
21528
// synopsys translate_on
21529
 
21530
// atom is at LC1_G5
21531
flex10ke_lcell \shift[0][5]~I (
21532
// Equation(s):
21533
// \shift[0][5]  = DFFEA(\fbuf[0][13] , GLOBAL(\fclk~dataout ), , , wordsync, , )
21534
 
21535
	.dataa(wordsync),
21536
	.datab(vcc),
21537
	.datac(vcc),
21538
	.datad(\fbuf[0][13] ),
21539
	.aclr(gnd),
21540
	.aload(gnd),
21541
	.clk(clk),
21542
	.cin(gnd),
21543
	.cascin(vcc),
21544
	.devclrn(devclrn),
21545
	.devpor(devpor),
21546
	.combout(),
21547
	.regout(\shift[0][5] ),
21548
	.cout(),
21549
	.cascout());
21550
// synopsys translate_off
21551
defparam \shift[0][5]~I .clock_enable_mode = "true";
21552
defparam \shift[0][5]~I .lut_mask = "ff00";
21553
defparam \shift[0][5]~I .operation_mode = "normal";
21554
defparam \shift[0][5]~I .output_mode = "reg_only";
21555
defparam \shift[0][5]~I .packed_mode = "false";
21556
// synopsys translate_on
21557
 
21558
// atom is at LC3_G13
21559
flex10ke_lcell \fbuf[0][5]~I (
21560
// Equation(s):
21561
// \fbuf[0][5]  = DFFEA(rddata_5, GLOBAL(\fclk~dataout ), , , \fbuf[0][14]~415 , , )
21562
 
21563
	.dataa(\fbuf[0][14]~415 ),
21564
	.datab(vcc),
21565
	.datac(vcc),
21566
	.datad(rddata_5),
21567
	.aclr(gnd),
21568
	.aload(gnd),
21569
	.clk(clk),
21570
	.cin(gnd),
21571
	.cascin(vcc),
21572
	.devclrn(devclrn),
21573
	.devpor(devpor),
21574
	.combout(),
21575
	.regout(\fbuf[0][5] ),
21576
	.cout(),
21577
	.cascout());
21578
// synopsys translate_off
21579
defparam \fbuf[0][5]~I .clock_enable_mode = "true";
21580
defparam \fbuf[0][5]~I .lut_mask = "ff00";
21581
defparam \fbuf[0][5]~I .operation_mode = "normal";
21582
defparam \fbuf[0][5]~I .output_mode = "reg_only";
21583
defparam \fbuf[0][5]~I .packed_mode = "false";
21584
// synopsys translate_on
21585
 
21586
// atom is at LC1_G13
21587
flex10ke_lcell \shift[1][5]~I (
21588
// Equation(s):
21589
// \shift[1][5]  = DFFEA(\fbuf[0][5] , GLOBAL(\fclk~dataout ), , , wordsync, , )
21590
 
21591
	.dataa(wordsync),
21592
	.datab(vcc),
21593
	.datac(vcc),
21594
	.datad(\fbuf[0][5] ),
21595
	.aclr(gnd),
21596
	.aload(gnd),
21597
	.clk(clk),
21598
	.cin(gnd),
21599
	.cascin(vcc),
21600
	.devclrn(devclrn),
21601
	.devpor(devpor),
21602
	.combout(),
21603
	.regout(\shift[1][5] ),
21604
	.cout(),
21605
	.cascout());
21606
// synopsys translate_off
21607
defparam \shift[1][5]~I .clock_enable_mode = "true";
21608
defparam \shift[1][5]~I .lut_mask = "ff00";
21609
defparam \shift[1][5]~I .operation_mode = "normal";
21610
defparam \shift[1][5]~I .output_mode = "reg_only";
21611
defparam \shift[1][5]~I .packed_mode = "false";
21612
// synopsys translate_on
21613
 
21614
// atom is at LC1_G2
21615
flex10ke_lcell \pixbyte~33_I (
21616
// Equation(s):
21617
// \pixbyte~33  = \pixnumber_rtl_4|wysi_counter|q [3] & (\shift[1][5] ) # !\pixnumber_rtl_4|wysi_counter|q [3] & \shift[0][5] 
21618
 
21619
	.dataa(vcc),
21620
	.datab(\pixnumber_rtl_4|wysi_counter|q [3]),
21621
	.datac(\shift[0][5] ),
21622
	.datad(\shift[1][5] ),
21623
	.aclr(gnd),
21624
	.aload(gnd),
21625
	.clk(gnd),
21626
	.cin(gnd),
21627
	.cascin(vcc),
21628
	.devclrn(devclrn),
21629
	.devpor(devpor),
21630
	.combout(\pixbyte~33 ),
21631
	.regout(),
21632
	.cout(),
21633
	.cascout());
21634
// synopsys translate_off
21635
defparam \pixbyte~33_I .clock_enable_mode = "false";
21636
defparam \pixbyte~33_I .lut_mask = "fc30";
21637
defparam \pixbyte~33_I .operation_mode = "normal";
21638
defparam \pixbyte~33_I .output_mode = "comb_only";
21639
defparam \pixbyte~33_I .packed_mode = "false";
21640
// synopsys translate_on
21641
 
21642
// atom is at LC3_G2
21643
flex10ke_lcell \Mux8~11_I (
21644
// Equation(s):
21645
// \Mux8~11  = \pixnumber_rtl_4|wysi_counter|q [1] & (\pixbyte~33  # \pixnumber_rtl_4|wysi_counter|q [0]) # !\pixnumber_rtl_4|wysi_counter|q [1] & \pixbyte~34  & (!\pixnumber_rtl_4|wysi_counter|q [0])
21646
 
21647
	.dataa(\pixbyte~34 ),
21648
	.datab(\pixnumber_rtl_4|wysi_counter|q [1]),
21649
	.datac(\pixbyte~33 ),
21650
	.datad(\pixnumber_rtl_4|wysi_counter|q [0]),
21651
	.aclr(gnd),
21652
	.aload(gnd),
21653
	.clk(gnd),
21654
	.cin(gnd),
21655
	.cascin(vcc),
21656
	.devclrn(devclrn),
21657
	.devpor(devpor),
21658
	.combout(\Mux8~11 ),
21659
	.regout(),
21660
	.cout(),
21661
	.cascout());
21662
// synopsys translate_off
21663
defparam \Mux8~11_I .clock_enable_mode = "false";
21664
defparam \Mux8~11_I .lut_mask = "cce2";
21665
defparam \Mux8~11_I .operation_mode = "normal";
21666
defparam \Mux8~11_I .output_mode = "comb_only";
21667
defparam \Mux8~11_I .packed_mode = "false";
21668
// synopsys translate_on
21669
 
21670
// atom is at LC2_G10
21671
flex10ke_lcell \fbuf[0][14]~I (
21672
// Equation(s):
21673
// \fbuf[0][14]  = DFFEA(rddata_14, GLOBAL(\fclk~dataout ), , , \fbuf[0][14]~415 , , )
21674
 
21675
	.dataa(\fbuf[0][14]~415 ),
21676
	.datab(vcc),
21677
	.datac(vcc),
21678
	.datad(rddata_14),
21679
	.aclr(gnd),
21680
	.aload(gnd),
21681
	.clk(clk),
21682
	.cin(gnd),
21683
	.cascin(vcc),
21684
	.devclrn(devclrn),
21685
	.devpor(devpor),
21686
	.combout(),
21687
	.regout(\fbuf[0][14] ),
21688
	.cout(),
21689
	.cascout());
21690
// synopsys translate_off
21691
defparam \fbuf[0][14]~I .clock_enable_mode = "true";
21692
defparam \fbuf[0][14]~I .lut_mask = "ff00";
21693
defparam \fbuf[0][14]~I .operation_mode = "normal";
21694
defparam \fbuf[0][14]~I .output_mode = "reg_only";
21695
defparam \fbuf[0][14]~I .packed_mode = "false";
21696
// synopsys translate_on
21697
 
21698
// atom is at LC6_G10
21699
flex10ke_lcell \shift[0][6]~I (
21700
// Equation(s):
21701
// \shift[0][6]  = DFFEA(\fbuf[0][14] , GLOBAL(\fclk~dataout ), , , wordsync, , )
21702
 
21703
	.dataa(wordsync),
21704
	.datab(vcc),
21705
	.datac(vcc),
21706
	.datad(\fbuf[0][14] ),
21707
	.aclr(gnd),
21708
	.aload(gnd),
21709
	.clk(clk),
21710
	.cin(gnd),
21711
	.cascin(vcc),
21712
	.devclrn(devclrn),
21713
	.devpor(devpor),
21714
	.combout(),
21715
	.regout(\shift[0][6] ),
21716
	.cout(),
21717
	.cascout());
21718
// synopsys translate_off
21719
defparam \shift[0][6]~I .clock_enable_mode = "true";
21720
defparam \shift[0][6]~I .lut_mask = "ff00";
21721
defparam \shift[0][6]~I .operation_mode = "normal";
21722
defparam \shift[0][6]~I .output_mode = "reg_only";
21723
defparam \shift[0][6]~I .packed_mode = "false";
21724
// synopsys translate_on
21725
 
21726
// atom is at LC1_G10
21727
flex10ke_lcell \fbuf[0][6]~I (
21728
// Equation(s):
21729
// \fbuf[0][6]  = DFFEA(rddata_6, GLOBAL(\fclk~dataout ), , , \fbuf[0][14]~415 , , )
21730
 
21731
	.dataa(\fbuf[0][14]~415 ),
21732
	.datab(vcc),
21733
	.datac(vcc),
21734
	.datad(rddata_6),
21735
	.aclr(gnd),
21736
	.aload(gnd),
21737
	.clk(clk),
21738
	.cin(gnd),
21739
	.cascin(vcc),
21740
	.devclrn(devclrn),
21741
	.devpor(devpor),
21742
	.combout(),
21743
	.regout(\fbuf[0][6] ),
21744
	.cout(),
21745
	.cascout());
21746
// synopsys translate_off
21747
defparam \fbuf[0][6]~I .clock_enable_mode = "true";
21748
defparam \fbuf[0][6]~I .lut_mask = "ff00";
21749
defparam \fbuf[0][6]~I .operation_mode = "normal";
21750
defparam \fbuf[0][6]~I .output_mode = "reg_only";
21751
defparam \fbuf[0][6]~I .packed_mode = "false";
21752
// synopsys translate_on
21753
 
21754
// atom is at LC5_G10
21755
flex10ke_lcell \shift[1][6]~I (
21756
// Equation(s):
21757
// \shift[1][6]  = DFFEA(\fbuf[0][6] , GLOBAL(\fclk~dataout ), , , wordsync, , )
21758
 
21759
	.dataa(wordsync),
21760
	.datab(vcc),
21761
	.datac(vcc),
21762
	.datad(\fbuf[0][6] ),
21763
	.aclr(gnd),
21764
	.aload(gnd),
21765
	.clk(clk),
21766
	.cin(gnd),
21767
	.cascin(vcc),
21768
	.devclrn(devclrn),
21769
	.devpor(devpor),
21770
	.combout(),
21771
	.regout(\shift[1][6] ),
21772
	.cout(),
21773
	.cascout());
21774
// synopsys translate_off
21775
defparam \shift[1][6]~I .clock_enable_mode = "true";
21776
defparam \shift[1][6]~I .lut_mask = "ff00";
21777
defparam \shift[1][6]~I .operation_mode = "normal";
21778
defparam \shift[1][6]~I .output_mode = "reg_only";
21779
defparam \shift[1][6]~I .packed_mode = "false";
21780
// synopsys translate_on
21781
 
21782
// atom is at LC4_G14
21783
flex10ke_lcell \pixbyte~32_I (
21784
// Equation(s):
21785
// \pixbyte~32  = \pixnumber_rtl_4|wysi_counter|q [3] & (\shift[1][6] ) # !\pixnumber_rtl_4|wysi_counter|q [3] & \shift[0][6] 
21786
 
21787
	.dataa(vcc),
21788
	.datab(\pixnumber_rtl_4|wysi_counter|q [3]),
21789
	.datac(\shift[0][6] ),
21790
	.datad(\shift[1][6] ),
21791
	.aclr(gnd),
21792
	.aload(gnd),
21793
	.clk(gnd),
21794
	.cin(gnd),
21795
	.cascin(vcc),
21796
	.devclrn(devclrn),
21797
	.devpor(devpor),
21798
	.combout(\pixbyte~32 ),
21799
	.regout(),
21800
	.cout(),
21801
	.cascout());
21802
// synopsys translate_off
21803
defparam \pixbyte~32_I .clock_enable_mode = "false";
21804
defparam \pixbyte~32_I .lut_mask = "fc30";
21805
defparam \pixbyte~32_I .operation_mode = "normal";
21806
defparam \pixbyte~32_I .output_mode = "comb_only";
21807
defparam \pixbyte~32_I .packed_mode = "false";
21808
// synopsys translate_on
21809
 
21810
// atom is at LC4_G2
21811
flex10ke_lcell \Mux8~12_I (
21812
// Equation(s):
21813
// \Mux8~12  = \Mux8~11  & (\pixbyte~35  # !\pixnumber_rtl_4|wysi_counter|q [0]) # !\Mux8~11  & (\pixnumber_rtl_4|wysi_counter|q [0] & \pixbyte~32 )
21814
 
21815
	.dataa(\pixbyte~35 ),
21816
	.datab(\Mux8~11 ),
21817
	.datac(\pixnumber_rtl_4|wysi_counter|q [0]),
21818
	.datad(\pixbyte~32 ),
21819
	.aclr(gnd),
21820
	.aload(gnd),
21821
	.clk(gnd),
21822
	.cin(gnd),
21823
	.cascin(vcc),
21824
	.devclrn(devclrn),
21825
	.devpor(devpor),
21826
	.combout(\Mux8~12 ),
21827
	.regout(),
21828
	.cout(),
21829
	.cascout());
21830
// synopsys translate_off
21831
defparam \Mux8~12_I .clock_enable_mode = "false";
21832
defparam \Mux8~12_I .lut_mask = "bc8c";
21833
defparam \Mux8~12_I .operation_mode = "normal";
21834
defparam \Mux8~12_I .output_mode = "comb_only";
21835
defparam \Mux8~12_I .packed_mode = "false";
21836
// synopsys translate_on
21837
 
21838
// atom is at LC8_G2
21839
flex10ke_lcell \zxcolor~1_I (
21840
// Equation(s):
21841
// \zxcolor~1  = \zxcolor~197  $ (\pixnumber_rtl_4|wysi_counter|q [2] & \Mux8~14  # !\pixnumber_rtl_4|wysi_counter|q [2] & (\Mux8~12 ))
21842
 
21843
	.dataa(\zxcolor~197 ),
21844
	.datab(\Mux8~14 ),
21845
	.datac(\Mux8~12 ),
21846
	.datad(\pixnumber_rtl_4|wysi_counter|q [2]),
21847
	.aclr(gnd),
21848
	.aload(gnd),
21849
	.clk(gnd),
21850
	.cin(gnd),
21851
	.cascin(vcc),
21852
	.devclrn(devclrn),
21853
	.devpor(devpor),
21854
	.combout(\zxcolor~1 ),
21855
	.regout(),
21856
	.cout(),
21857
	.cascout());
21858
// synopsys translate_off
21859
defparam \zxcolor~1_I .clock_enable_mode = "false";
21860
defparam \zxcolor~1_I .lut_mask = "665a";
21861
defparam \zxcolor~1_I .operation_mode = "normal";
21862
defparam \zxcolor~1_I .output_mode = "comb_only";
21863
defparam \zxcolor~1_I .packed_mode = "false";
21864
// synopsys translate_on
21865
 
21866
// atom is at LC4_G1
21867
flex10ke_lcell \zxcolor~198_I (
21868
// Equation(s):
21869
// \zxcolor~198  = \pixnumber_rtl_4|wysi_counter|q [3] & (\shift[3][4]  # \zxcolor~1 ) # !\pixnumber_rtl_4|wysi_counter|q [3] & \shift[2][4]  & (!\zxcolor~1 )
21870
 
21871
	.dataa(\shift[2][4] ),
21872
	.datab(\pixnumber_rtl_4|wysi_counter|q [3]),
21873
	.datac(\shift[3][4] ),
21874
	.datad(\zxcolor~1 ),
21875
	.aclr(gnd),
21876
	.aload(gnd),
21877
	.clk(gnd),
21878
	.cin(gnd),
21879
	.cascin(vcc),
21880
	.devclrn(devclrn),
21881
	.devpor(devpor),
21882
	.combout(\zxcolor~198 ),
21883
	.regout(),
21884
	.cout(),
21885
	.cascout());
21886
// synopsys translate_off
21887
defparam \zxcolor~198_I .clock_enable_mode = "false";
21888
defparam \zxcolor~198_I .lut_mask = "cce2";
21889
defparam \zxcolor~198_I .operation_mode = "normal";
21890
defparam \zxcolor~198_I .output_mode = "comb_only";
21891
defparam \zxcolor~198_I .packed_mode = "false";
21892
// synopsys translate_on
21893
 
21894
// atom is at LC8_G26
21895
flex10ke_lcell \fbuf[3][5]~I (
21896
// Equation(s):
21897
// \fbuf[3][5]  = DFFEA(rddata_5, GLOBAL(\fclk~dataout ), , , \fbuf[3][14]~416 , , )
21898
 
21899
	.dataa(\fbuf[3][14]~416 ),
21900
	.datab(vcc),
21901
	.datac(vcc),
21902
	.datad(rddata_5),
21903
	.aclr(gnd),
21904
	.aload(gnd),
21905
	.clk(clk),
21906
	.cin(gnd),
21907
	.cascin(vcc),
21908
	.devclrn(devclrn),
21909
	.devpor(devpor),
21910
	.combout(),
21911
	.regout(\fbuf[3][5] ),
21912
	.cout(),
21913
	.cascout());
21914
// synopsys translate_off
21915
defparam \fbuf[3][5]~I .clock_enable_mode = "true";
21916
defparam \fbuf[3][5]~I .lut_mask = "ff00";
21917
defparam \fbuf[3][5]~I .operation_mode = "normal";
21918
defparam \fbuf[3][5]~I .output_mode = "reg_only";
21919
defparam \fbuf[3][5]~I .packed_mode = "false";
21920
// synopsys translate_on
21921
 
21922
// atom is at LC5_G26
21923
flex10ke_lcell \shift[7][5]~I (
21924
// Equation(s):
21925
// \shift[7][5]  = DFFEA(\fbuf[3][5] , GLOBAL(\fclk~dataout ), , , wordsync, , )
21926
 
21927
	.dataa(wordsync),
21928
	.datab(vcc),
21929
	.datac(vcc),
21930
	.datad(\fbuf[3][5] ),
21931
	.aclr(gnd),
21932
	.aload(gnd),
21933
	.clk(clk),
21934
	.cin(gnd),
21935
	.cascin(vcc),
21936
	.devclrn(devclrn),
21937
	.devpor(devpor),
21938
	.combout(),
21939
	.regout(\shift[7][5] ),
21940
	.cout(),
21941
	.cascout());
21942
// synopsys translate_off
21943
defparam \shift[7][5]~I .clock_enable_mode = "true";
21944
defparam \shift[7][5]~I .lut_mask = "ff00";
21945
defparam \shift[7][5]~I .operation_mode = "normal";
21946
defparam \shift[7][5]~I .output_mode = "reg_only";
21947
defparam \shift[7][5]~I .packed_mode = "false";
21948
// synopsys translate_on
21949
 
21950
// atom is at LC6_G28
21951
flex10ke_lcell \fbuf[3][2]~I (
21952
// Equation(s):
21953
// \fbuf[3][2]  = DFFEA(rddata_2, GLOBAL(\fclk~dataout ), , , \fbuf[3][14]~416 , , )
21954
 
21955
	.dataa(\fbuf[3][14]~416 ),
21956
	.datab(vcc),
21957
	.datac(vcc),
21958
	.datad(rddata_2),
21959
	.aclr(gnd),
21960
	.aload(gnd),
21961
	.clk(clk),
21962
	.cin(gnd),
21963
	.cascin(vcc),
21964
	.devclrn(devclrn),
21965
	.devpor(devpor),
21966
	.combout(),
21967
	.regout(\fbuf[3][2] ),
21968
	.cout(),
21969
	.cascout());
21970
// synopsys translate_off
21971
defparam \fbuf[3][2]~I .clock_enable_mode = "true";
21972
defparam \fbuf[3][2]~I .lut_mask = "ff00";
21973
defparam \fbuf[3][2]~I .operation_mode = "normal";
21974
defparam \fbuf[3][2]~I .output_mode = "reg_only";
21975
defparam \fbuf[3][2]~I .packed_mode = "false";
21976
// synopsys translate_on
21977
 
21978
// atom is at LC5_G28
21979
flex10ke_lcell \shift[7][2]~I (
21980
// Equation(s):
21981
// \shift[7][2]  = DFFEA(\fbuf[3][2] , GLOBAL(\fclk~dataout ), , , wordsync, , )
21982
 
21983
	.dataa(wordsync),
21984
	.datab(vcc),
21985
	.datac(vcc),
21986
	.datad(\fbuf[3][2] ),
21987
	.aclr(gnd),
21988
	.aload(gnd),
21989
	.clk(clk),
21990
	.cin(gnd),
21991
	.cascin(vcc),
21992
	.devclrn(devclrn),
21993
	.devpor(devpor),
21994
	.combout(),
21995
	.regout(\shift[7][2] ),
21996
	.cout(),
21997
	.cascout());
21998
// synopsys translate_off
21999
defparam \shift[7][2]~I .clock_enable_mode = "true";
22000
defparam \shift[7][2]~I .lut_mask = "ff00";
22001
defparam \shift[7][2]~I .operation_mode = "normal";
22002
defparam \shift[7][2]~I .output_mode = "reg_only";
22003
defparam \shift[7][2]~I .packed_mode = "false";
22004
// synopsys translate_on
22005
 
22006
// atom is at LC4_G26
22007
flex10ke_lcell \Mux14~28_I (
22008
// Equation(s):
22009
// \Mux14~28  = \pixnumber_rtl_4|wysi_counter|q [1] & (\shift[7][2]  # \pixnumber_rtl_4|wysi_counter|q [0]) # !\pixnumber_rtl_4|wysi_counter|q [1] & \shift[5][2]  & (!\pixnumber_rtl_4|wysi_counter|q [0])
22010
 
22011
	.dataa(\shift[5][2] ),
22012
	.datab(\pixnumber_rtl_4|wysi_counter|q [1]),
22013
	.datac(\shift[7][2] ),
22014
	.datad(\pixnumber_rtl_4|wysi_counter|q [0]),
22015
	.aclr(gnd),
22016
	.aload(gnd),
22017
	.clk(gnd),
22018
	.cin(gnd),
22019
	.cascin(vcc),
22020
	.devclrn(devclrn),
22021
	.devpor(devpor),
22022
	.combout(\Mux14~28 ),
22023
	.regout(),
22024
	.cout(),
22025
	.cascout());
22026
// synopsys translate_off
22027
defparam \Mux14~28_I .clock_enable_mode = "false";
22028
defparam \Mux14~28_I .lut_mask = "cce2";
22029
defparam \Mux14~28_I .operation_mode = "normal";
22030
defparam \Mux14~28_I .output_mode = "comb_only";
22031
defparam \Mux14~28_I .packed_mode = "false";
22032
// synopsys translate_on
22033
 
22034
// atom is at LC6_G26
22035
flex10ke_lcell \fbuf[2][5]~I (
22036
// Equation(s):
22037
// \fbuf[2][5]  = DFFEA(rddata_5, GLOBAL(\fclk~dataout ), , , \fbuf[2][14]~413 , , )
22038
 
22039
	.dataa(\fbuf[2][14]~413 ),
22040
	.datab(vcc),
22041
	.datac(vcc),
22042
	.datad(rddata_5),
22043
	.aclr(gnd),
22044
	.aload(gnd),
22045
	.clk(clk),
22046
	.cin(gnd),
22047
	.cascin(vcc),
22048
	.devclrn(devclrn),
22049
	.devpor(devpor),
22050
	.combout(),
22051
	.regout(\fbuf[2][5] ),
22052
	.cout(),
22053
	.cascout());
22054
// synopsys translate_off
22055
defparam \fbuf[2][5]~I .clock_enable_mode = "true";
22056
defparam \fbuf[2][5]~I .lut_mask = "ff00";
22057
defparam \fbuf[2][5]~I .operation_mode = "normal";
22058
defparam \fbuf[2][5]~I .output_mode = "reg_only";
22059
defparam \fbuf[2][5]~I .packed_mode = "false";
22060
// synopsys translate_on
22061
 
22062
// atom is at LC1_G26
22063
flex10ke_lcell \shift[5][5]~I (
22064
// Equation(s):
22065
// \shift[5][5]  = DFFEA(\fbuf[2][5] , GLOBAL(\fclk~dataout ), , , wordsync, , )
22066
 
22067
	.dataa(wordsync),
22068
	.datab(vcc),
22069
	.datac(vcc),
22070
	.datad(\fbuf[2][5] ),
22071
	.aclr(gnd),
22072
	.aload(gnd),
22073
	.clk(clk),
22074
	.cin(gnd),
22075
	.cascin(vcc),
22076
	.devclrn(devclrn),
22077
	.devpor(devpor),
22078
	.combout(),
22079
	.regout(\shift[5][5] ),
22080
	.cout(),
22081
	.cascout());
22082
// synopsys translate_off
22083
defparam \shift[5][5]~I .clock_enable_mode = "true";
22084
defparam \shift[5][5]~I .lut_mask = "ff00";
22085
defparam \shift[5][5]~I .operation_mode = "normal";
22086
defparam \shift[5][5]~I .output_mode = "reg_only";
22087
defparam \shift[5][5]~I .packed_mode = "false";
22088
// synopsys translate_on
22089
 
22090
// atom is at LC2_G26
22091
flex10ke_lcell \Mux14~29_I (
22092
// Equation(s):
22093
// \Mux14~29  = \Mux14~28  & (\shift[7][5]  # !\pixnumber_rtl_4|wysi_counter|q [0]) # !\Mux14~28  & (\pixnumber_rtl_4|wysi_counter|q [0] & \shift[5][5] )
22094
 
22095
	.dataa(\shift[7][5] ),
22096
	.datab(\Mux14~28 ),
22097
	.datac(\pixnumber_rtl_4|wysi_counter|q [0]),
22098
	.datad(\shift[5][5] ),
22099
	.aclr(gnd),
22100
	.aload(gnd),
22101
	.clk(gnd),
22102
	.cin(gnd),
22103
	.cascin(vcc),
22104
	.devclrn(devclrn),
22105
	.devpor(devpor),
22106
	.combout(\Mux14~29 ),
22107
	.regout(),
22108
	.cout(),
22109
	.cascout());
22110
// synopsys translate_off
22111
defparam \Mux14~29_I .clock_enable_mode = "false";
22112
defparam \Mux14~29_I .lut_mask = "bc8c";
22113
defparam \Mux14~29_I .operation_mode = "normal";
22114
defparam \Mux14~29_I .output_mode = "comb_only";
22115
defparam \Mux14~29_I .packed_mode = "false";
22116
// synopsys translate_on
22117
 
22118
// atom is at LC4_G7
22119
flex10ke_lcell \Mux14~25_I (
22120
// Equation(s):
22121
// \Mux14~25  = \pixnumber_rtl_4|wysi_counter|q [0] & (\shift[0][5]  # \pixnumber_rtl_4|wysi_counter|q [1]) # !\pixnumber_rtl_4|wysi_counter|q [0] & \shift[0][2]  & (!\pixnumber_rtl_4|wysi_counter|q [1])
22122
 
22123
	.dataa(\shift[0][2] ),
22124
	.datab(\pixnumber_rtl_4|wysi_counter|q [0]),
22125
	.datac(\shift[0][5] ),
22126
	.datad(\pixnumber_rtl_4|wysi_counter|q [1]),
22127
	.aclr(gnd),
22128
	.aload(gnd),
22129
	.clk(gnd),
22130
	.cin(gnd),
22131
	.cascin(vcc),
22132
	.devclrn(devclrn),
22133
	.devpor(devpor),
22134
	.combout(\Mux14~25 ),
22135
	.regout(),
22136
	.cout(),
22137
	.cascout());
22138
// synopsys translate_off
22139
defparam \Mux14~25_I .clock_enable_mode = "false";
22140
defparam \Mux14~25_I .lut_mask = "cce2";
22141
defparam \Mux14~25_I .operation_mode = "normal";
22142
defparam \Mux14~25_I .output_mode = "comb_only";
22143
defparam \Mux14~25_I .packed_mode = "false";
22144
// synopsys translate_on
22145
 
22146
// atom is at LC1_G25
22147
flex10ke_lcell \fbuf[1][10]~I (
22148
// Equation(s):
22149
// \fbuf[1][10]  = DFFEA(rddata_10, GLOBAL(\fclk~dataout ), , , \fbuf[1][14]~414 , , )
22150
 
22151
	.dataa(\fbuf[1][14]~414 ),
22152
	.datab(vcc),
22153
	.datac(vcc),
22154
	.datad(rddata_10),
22155
	.aclr(gnd),
22156
	.aload(gnd),
22157
	.clk(clk),
22158
	.cin(gnd),
22159
	.cascin(vcc),
22160
	.devclrn(devclrn),
22161
	.devpor(devpor),
22162
	.combout(),
22163
	.regout(\fbuf[1][10] ),
22164
	.cout(),
22165
	.cascout());
22166
// synopsys translate_off
22167
defparam \fbuf[1][10]~I .clock_enable_mode = "true";
22168
defparam \fbuf[1][10]~I .lut_mask = "ff00";
22169
defparam \fbuf[1][10]~I .operation_mode = "normal";
22170
defparam \fbuf[1][10]~I .output_mode = "reg_only";
22171
defparam \fbuf[1][10]~I .packed_mode = "false";
22172
// synopsys translate_on
22173
 
22174
// atom is at LC5_G25
22175
flex10ke_lcell \shift[2][2]~I (
22176
// Equation(s):
22177
// \shift[2][2]  = DFFEA(\fbuf[1][10] , GLOBAL(\fclk~dataout ), , , wordsync, , )
22178
 
22179
	.dataa(wordsync),
22180
	.datab(vcc),
22181
	.datac(vcc),
22182
	.datad(\fbuf[1][10] ),
22183
	.aclr(gnd),
22184
	.aload(gnd),
22185
	.clk(clk),
22186
	.cin(gnd),
22187
	.cascin(vcc),
22188
	.devclrn(devclrn),
22189
	.devpor(devpor),
22190
	.combout(),
22191
	.regout(\shift[2][2] ),
22192
	.cout(),
22193
	.cascout());
22194
// synopsys translate_off
22195
defparam \shift[2][2]~I .clock_enable_mode = "true";
22196
defparam \shift[2][2]~I .lut_mask = "ff00";
22197
defparam \shift[2][2]~I .operation_mode = "normal";
22198
defparam \shift[2][2]~I .output_mode = "reg_only";
22199
defparam \shift[2][2]~I .packed_mode = "false";
22200
// synopsys translate_on
22201
 
22202
// atom is at LC6_G7
22203
flex10ke_lcell \Mux14~26_I (
22204
// Equation(s):
22205
// \Mux14~26  = \Mux14~25  & (\shift[2][5]  # !\pixnumber_rtl_4|wysi_counter|q [1]) # !\Mux14~25  & (\pixnumber_rtl_4|wysi_counter|q [1] & \shift[2][2] )
22206
 
22207
	.dataa(\shift[2][5] ),
22208
	.datab(\Mux14~25 ),
22209
	.datac(\pixnumber_rtl_4|wysi_counter|q [1]),
22210
	.datad(\shift[2][2] ),
22211
	.aclr(gnd),
22212
	.aload(gnd),
22213
	.clk(gnd),
22214
	.cin(gnd),
22215
	.cascin(vcc),
22216
	.devclrn(devclrn),
22217
	.devpor(devpor),
22218
	.combout(\Mux14~26 ),
22219
	.regout(),
22220
	.cout(),
22221
	.cascout());
22222
// synopsys translate_off
22223
defparam \Mux14~26_I .clock_enable_mode = "false";
22224
defparam \Mux14~26_I .lut_mask = "bc8c";
22225
defparam \Mux14~26_I .operation_mode = "normal";
22226
defparam \Mux14~26_I .output_mode = "comb_only";
22227
defparam \Mux14~26_I .packed_mode = "false";
22228
// synopsys translate_on
22229
 
22230
// atom is at LC7_G18
22231
flex10ke_lcell \fbuf[2][13]~I (
22232
// Equation(s):
22233
// \fbuf[2][13]  = DFFEA(rddata_13, GLOBAL(\fclk~dataout ), , , \fbuf[2][14]~413 , , )
22234
 
22235
	.dataa(\fbuf[2][14]~413 ),
22236
	.datab(vcc),
22237
	.datac(vcc),
22238
	.datad(rddata_13),
22239
	.aclr(gnd),
22240
	.aload(gnd),
22241
	.clk(clk),
22242
	.cin(gnd),
22243
	.cascin(vcc),
22244
	.devclrn(devclrn),
22245
	.devpor(devpor),
22246
	.combout(),
22247
	.regout(\fbuf[2][13] ),
22248
	.cout(),
22249
	.cascout());
22250
// synopsys translate_off
22251
defparam \fbuf[2][13]~I .clock_enable_mode = "true";
22252
defparam \fbuf[2][13]~I .lut_mask = "ff00";
22253
defparam \fbuf[2][13]~I .operation_mode = "normal";
22254
defparam \fbuf[2][13]~I .output_mode = "reg_only";
22255
defparam \fbuf[2][13]~I .packed_mode = "false";
22256
// synopsys translate_on
22257
 
22258
// atom is at LC2_G18
22259
flex10ke_lcell \shift[4][5]~I (
22260
// Equation(s):
22261
// \shift[4][5]  = DFFEA(\fbuf[2][13] , GLOBAL(\fclk~dataout ), , , wordsync, , )
22262
 
22263
	.dataa(wordsync),
22264
	.datab(vcc),
22265
	.datac(vcc),
22266
	.datad(\fbuf[2][13] ),
22267
	.aclr(gnd),
22268
	.aload(gnd),
22269
	.clk(clk),
22270
	.cin(gnd),
22271
	.cascin(vcc),
22272
	.devclrn(devclrn),
22273
	.devpor(devpor),
22274
	.combout(),
22275
	.regout(\shift[4][5] ),
22276
	.cout(),
22277
	.cascout());
22278
// synopsys translate_off
22279
defparam \shift[4][5]~I .clock_enable_mode = "true";
22280
defparam \shift[4][5]~I .lut_mask = "ff00";
22281
defparam \shift[4][5]~I .operation_mode = "normal";
22282
defparam \shift[4][5]~I .output_mode = "reg_only";
22283
defparam \shift[4][5]~I .packed_mode = "false";
22284
// synopsys translate_on
22285
 
22286
// atom is at LC5_G18
22287
flex10ke_lcell \Mux14~23_I (
22288
// Equation(s):
22289
// \Mux14~23  = \pixnumber_rtl_4|wysi_counter|q [0] & (\shift[4][5]  # \pixnumber_rtl_4|wysi_counter|q [1]) # !\pixnumber_rtl_4|wysi_counter|q [0] & \shift[4][2]  & (!\pixnumber_rtl_4|wysi_counter|q [1])
22290
 
22291
	.dataa(\shift[4][2] ),
22292
	.datab(\pixnumber_rtl_4|wysi_counter|q [0]),
22293
	.datac(\shift[4][5] ),
22294
	.datad(\pixnumber_rtl_4|wysi_counter|q [1]),
22295
	.aclr(gnd),
22296
	.aload(gnd),
22297
	.clk(gnd),
22298
	.cin(gnd),
22299
	.cascin(vcc),
22300
	.devclrn(devclrn),
22301
	.devpor(devpor),
22302
	.combout(\Mux14~23 ),
22303
	.regout(),
22304
	.cout(),
22305
	.cascout());
22306
// synopsys translate_off
22307
defparam \Mux14~23_I .clock_enable_mode = "false";
22308
defparam \Mux14~23_I .lut_mask = "cce2";
22309
defparam \Mux14~23_I .operation_mode = "normal";
22310
defparam \Mux14~23_I .output_mode = "comb_only";
22311
defparam \Mux14~23_I .packed_mode = "false";
22312
// synopsys translate_on
22313
 
22314
// atom is at LC6_G18
22315
flex10ke_lcell \fbuf[3][10]~I (
22316
// Equation(s):
22317
// \fbuf[3][10]  = DFFEA(rddata_10, GLOBAL(\fclk~dataout ), , , \fbuf[3][14]~416 , , )
22318
 
22319
	.dataa(\fbuf[3][14]~416 ),
22320
	.datab(vcc),
22321
	.datac(vcc),
22322
	.datad(rddata_10),
22323
	.aclr(gnd),
22324
	.aload(gnd),
22325
	.clk(clk),
22326
	.cin(gnd),
22327
	.cascin(vcc),
22328
	.devclrn(devclrn),
22329
	.devpor(devpor),
22330
	.combout(),
22331
	.regout(\fbuf[3][10] ),
22332
	.cout(),
22333
	.cascout());
22334
// synopsys translate_off
22335
defparam \fbuf[3][10]~I .clock_enable_mode = "true";
22336
defparam \fbuf[3][10]~I .lut_mask = "ff00";
22337
defparam \fbuf[3][10]~I .operation_mode = "normal";
22338
defparam \fbuf[3][10]~I .output_mode = "reg_only";
22339
defparam \fbuf[3][10]~I .packed_mode = "false";
22340
// synopsys translate_on
22341
 
22342
// atom is at LC1_G18
22343
flex10ke_lcell \shift[6][2]~I (
22344
// Equation(s):
22345
// \shift[6][2]  = DFFEA(\fbuf[3][10] , GLOBAL(\fclk~dataout ), , , wordsync, , )
22346
 
22347
	.dataa(wordsync),
22348
	.datab(vcc),
22349
	.datac(vcc),
22350
	.datad(\fbuf[3][10] ),
22351
	.aclr(gnd),
22352
	.aload(gnd),
22353
	.clk(clk),
22354
	.cin(gnd),
22355
	.cascin(vcc),
22356
	.devclrn(devclrn),
22357
	.devpor(devpor),
22358
	.combout(),
22359
	.regout(\shift[6][2] ),
22360
	.cout(),
22361
	.cascout());
22362
// synopsys translate_off
22363
defparam \shift[6][2]~I .clock_enable_mode = "true";
22364
defparam \shift[6][2]~I .lut_mask = "ff00";
22365
defparam \shift[6][2]~I .operation_mode = "normal";
22366
defparam \shift[6][2]~I .output_mode = "reg_only";
22367
defparam \shift[6][2]~I .packed_mode = "false";
22368
// synopsys translate_on
22369
 
22370
// atom is at LC4_G18
22371
flex10ke_lcell \Mux14~24_I (
22372
// Equation(s):
22373
// \Mux14~24  = \Mux14~23  & (\shift[6][5]  # !\pixnumber_rtl_4|wysi_counter|q [1]) # !\Mux14~23  & (\pixnumber_rtl_4|wysi_counter|q [1] & \shift[6][2] )
22374
 
22375
	.dataa(\shift[6][5] ),
22376
	.datab(\Mux14~23 ),
22377
	.datac(\pixnumber_rtl_4|wysi_counter|q [1]),
22378
	.datad(\shift[6][2] ),
22379
	.aclr(gnd),
22380
	.aload(gnd),
22381
	.clk(gnd),
22382
	.cin(gnd),
22383
	.cascin(vcc),
22384
	.devclrn(devclrn),
22385
	.devpor(devpor),
22386
	.combout(\Mux14~24 ),
22387
	.regout(),
22388
	.cout(),
22389
	.cascout());
22390
// synopsys translate_off
22391
defparam \Mux14~24_I .clock_enable_mode = "false";
22392
defparam \Mux14~24_I .lut_mask = "bc8c";
22393
defparam \Mux14~24_I .operation_mode = "normal";
22394
defparam \Mux14~24_I .output_mode = "comb_only";
22395
defparam \Mux14~24_I .packed_mode = "false";
22396
// synopsys translate_on
22397
 
22398
// atom is at LC7_G7
22399
flex10ke_lcell \Mux14~27_I (
22400
// Equation(s):
22401
// \Mux14~27  = \pixnumber_rtl_4|wysi_counter|q [2] & (\Mux14~24  # \pixnumber_rtl_4|wysi_counter|q [3]) # !\pixnumber_rtl_4|wysi_counter|q [2] & \Mux14~26  & (!\pixnumber_rtl_4|wysi_counter|q [3])
22402
 
22403
	.dataa(\Mux14~26 ),
22404
	.datab(\pixnumber_rtl_4|wysi_counter|q [2]),
22405
	.datac(\Mux14~24 ),
22406
	.datad(\pixnumber_rtl_4|wysi_counter|q [3]),
22407
	.aclr(gnd),
22408
	.aload(gnd),
22409
	.clk(gnd),
22410
	.cin(gnd),
22411
	.cascin(vcc),
22412
	.devclrn(devclrn),
22413
	.devpor(devpor),
22414
	.combout(\Mux14~27 ),
22415
	.regout(),
22416
	.cout(),
22417
	.cascout());
22418
// synopsys translate_off
22419
defparam \Mux14~27_I .clock_enable_mode = "false";
22420
defparam \Mux14~27_I .lut_mask = "cce2";
22421
defparam \Mux14~27_I .operation_mode = "normal";
22422
defparam \Mux14~27_I .output_mode = "comb_only";
22423
defparam \Mux14~27_I .packed_mode = "false";
22424
// synopsys translate_on
22425
 
22426
// atom is at LC8_G13
22427
flex10ke_lcell \fbuf[1][5]~I (
22428
// Equation(s):
22429
// \fbuf[1][5]  = DFFEA(rddata_5, GLOBAL(\fclk~dataout ), , , \fbuf[1][14]~414 , , )
22430
 
22431
	.dataa(\fbuf[1][14]~414 ),
22432
	.datab(vcc),
22433
	.datac(vcc),
22434
	.datad(rddata_5),
22435
	.aclr(gnd),
22436
	.aload(gnd),
22437
	.clk(clk),
22438
	.cin(gnd),
22439
	.cascin(vcc),
22440
	.devclrn(devclrn),
22441
	.devpor(devpor),
22442
	.combout(),
22443
	.regout(\fbuf[1][5] ),
22444
	.cout(),
22445
	.cascout());
22446
// synopsys translate_off
22447
defparam \fbuf[1][5]~I .clock_enable_mode = "true";
22448
defparam \fbuf[1][5]~I .lut_mask = "ff00";
22449
defparam \fbuf[1][5]~I .operation_mode = "normal";
22450
defparam \fbuf[1][5]~I .output_mode = "reg_only";
22451
defparam \fbuf[1][5]~I .packed_mode = "false";
22452
// synopsys translate_on
22453
 
22454
// atom is at LC6_G13
22455
flex10ke_lcell \shift[3][5]~I (
22456
// Equation(s):
22457
// \shift[3][5]  = DFFEA(\fbuf[1][5] , GLOBAL(\fclk~dataout ), , , wordsync, , )
22458
 
22459
	.dataa(wordsync),
22460
	.datab(vcc),
22461
	.datac(vcc),
22462
	.datad(\fbuf[1][5] ),
22463
	.aclr(gnd),
22464
	.aload(gnd),
22465
	.clk(clk),
22466
	.cin(gnd),
22467
	.cascin(vcc),
22468
	.devclrn(devclrn),
22469
	.devpor(devpor),
22470
	.combout(),
22471
	.regout(\shift[3][5] ),
22472
	.cout(),
22473
	.cascout());
22474
// synopsys translate_off
22475
defparam \shift[3][5]~I .clock_enable_mode = "true";
22476
defparam \shift[3][5]~I .lut_mask = "ff00";
22477
defparam \shift[3][5]~I .operation_mode = "normal";
22478
defparam \shift[3][5]~I .output_mode = "reg_only";
22479
defparam \shift[3][5]~I .packed_mode = "false";
22480
// synopsys translate_on
22481
 
22482
// atom is at LC2_G7
22483
flex10ke_lcell \Mux14~21_I (
22484
// Equation(s):
22485
// \Mux14~21  = \pixnumber_rtl_4|wysi_counter|q [0] & (\shift[1][5]  # \pixnumber_rtl_4|wysi_counter|q [1]) # !\pixnumber_rtl_4|wysi_counter|q [0] & \shift[1][2]  & (!\pixnumber_rtl_4|wysi_counter|q [1])
22486
 
22487
	.dataa(\shift[1][2] ),
22488
	.datab(\pixnumber_rtl_4|wysi_counter|q [0]),
22489
	.datac(\shift[1][5] ),
22490
	.datad(\pixnumber_rtl_4|wysi_counter|q [1]),
22491
	.aclr(gnd),
22492
	.aload(gnd),
22493
	.clk(gnd),
22494
	.cin(gnd),
22495
	.cascin(vcc),
22496
	.devclrn(devclrn),
22497
	.devpor(devpor),
22498
	.combout(\Mux14~21 ),
22499
	.regout(),
22500
	.cout(),
22501
	.cascout());
22502
// synopsys translate_off
22503
defparam \Mux14~21_I .clock_enable_mode = "false";
22504
defparam \Mux14~21_I .lut_mask = "cce2";
22505
defparam \Mux14~21_I .operation_mode = "normal";
22506
defparam \Mux14~21_I .output_mode = "comb_only";
22507
defparam \Mux14~21_I .packed_mode = "false";
22508
// synopsys translate_on
22509
 
22510
// atom is at LC7_G13
22511
flex10ke_lcell \fbuf[1][2]~I (
22512
// Equation(s):
22513
// \fbuf[1][2]  = DFFEA(rddata_2, GLOBAL(\fclk~dataout ), , , \fbuf[1][14]~414 , , )
22514
 
22515
	.dataa(\fbuf[1][14]~414 ),
22516
	.datab(vcc),
22517
	.datac(vcc),
22518
	.datad(rddata_2),
22519
	.aclr(gnd),
22520
	.aload(gnd),
22521
	.clk(clk),
22522
	.cin(gnd),
22523
	.cascin(vcc),
22524
	.devclrn(devclrn),
22525
	.devpor(devpor),
22526
	.combout(),
22527
	.regout(\fbuf[1][2] ),
22528
	.cout(),
22529
	.cascout());
22530
// synopsys translate_off
22531
defparam \fbuf[1][2]~I .clock_enable_mode = "true";
22532
defparam \fbuf[1][2]~I .lut_mask = "ff00";
22533
defparam \fbuf[1][2]~I .operation_mode = "normal";
22534
defparam \fbuf[1][2]~I .output_mode = "reg_only";
22535
defparam \fbuf[1][2]~I .packed_mode = "false";
22536
// synopsys translate_on
22537
 
22538
// atom is at LC4_G13
22539
flex10ke_lcell \shift[3][2]~I (
22540
// Equation(s):
22541
// \shift[3][2]  = DFFEA(\fbuf[1][2] , GLOBAL(\fclk~dataout ), , , wordsync, , )
22542
 
22543
	.dataa(wordsync),
22544
	.datab(vcc),
22545
	.datac(vcc),
22546
	.datad(\fbuf[1][2] ),
22547
	.aclr(gnd),
22548
	.aload(gnd),
22549
	.clk(clk),
22550
	.cin(gnd),
22551
	.cascin(vcc),
22552
	.devclrn(devclrn),
22553
	.devpor(devpor),
22554
	.combout(),
22555
	.regout(\shift[3][2] ),
22556
	.cout(),
22557
	.cascout());
22558
// synopsys translate_off
22559
defparam \shift[3][2]~I .clock_enable_mode = "true";
22560
defparam \shift[3][2]~I .lut_mask = "ff00";
22561
defparam \shift[3][2]~I .operation_mode = "normal";
22562
defparam \shift[3][2]~I .output_mode = "reg_only";
22563
defparam \shift[3][2]~I .packed_mode = "false";
22564
// synopsys translate_on
22565
 
22566
// atom is at LC3_G7
22567
flex10ke_lcell \Mux14~22_I (
22568
// Equation(s):
22569
// \Mux14~22  = \Mux14~21  & (\shift[3][5]  # !\pixnumber_rtl_4|wysi_counter|q [1]) # !\Mux14~21  & (\pixnumber_rtl_4|wysi_counter|q [1] & \shift[3][2] )
22570
 
22571
	.dataa(\shift[3][5] ),
22572
	.datab(\Mux14~21 ),
22573
	.datac(\pixnumber_rtl_4|wysi_counter|q [1]),
22574
	.datad(\shift[3][2] ),
22575
	.aclr(gnd),
22576
	.aload(gnd),
22577
	.clk(gnd),
22578
	.cin(gnd),
22579
	.cascin(vcc),
22580
	.devclrn(devclrn),
22581
	.devpor(devpor),
22582
	.combout(\Mux14~22 ),
22583
	.regout(),
22584
	.cout(),
22585
	.cascout());
22586
// synopsys translate_off
22587
defparam \Mux14~22_I .clock_enable_mode = "false";
22588
defparam \Mux14~22_I .lut_mask = "bc8c";
22589
defparam \Mux14~22_I .operation_mode = "normal";
22590
defparam \Mux14~22_I .output_mode = "comb_only";
22591
defparam \Mux14~22_I .packed_mode = "false";
22592
// synopsys translate_on
22593
 
22594
// atom is at LC7_G5
22595
flex10ke_lcell \fbuf[1][13]~I (
22596
// Equation(s):
22597
// \fbuf[1][13]  = DFFEA(rddata_13, GLOBAL(\fclk~dataout ), , , \fbuf[1][14]~414 , , )
22598
 
22599
	.dataa(\fbuf[1][14]~414 ),
22600
	.datab(vcc),
22601
	.datac(vcc),
22602
	.datad(rddata_13),
22603
	.aclr(gnd),
22604
	.aload(gnd),
22605
	.clk(clk),
22606
	.cin(gnd),
22607
	.cascin(vcc),
22608
	.devclrn(devclrn),
22609
	.devpor(devpor),
22610
	.combout(),
22611
	.regout(\fbuf[1][13] ),
22612
	.cout(),
22613
	.cascout());
22614
// synopsys translate_off
22615
defparam \fbuf[1][13]~I .clock_enable_mode = "true";
22616
defparam \fbuf[1][13]~I .lut_mask = "ff00";
22617
defparam \fbuf[1][13]~I .operation_mode = "normal";
22618
defparam \fbuf[1][13]~I .output_mode = "reg_only";
22619
defparam \fbuf[1][13]~I .packed_mode = "false";
22620
// synopsys translate_on
22621
 
22622
// atom is at LC2_G5
22623
flex10ke_lcell \shift[2][5]~I (
22624
// Equation(s):
22625
// \shift[2][5]  = DFFEA(\fbuf[1][13] , GLOBAL(\fclk~dataout ), , , wordsync, , )
22626
 
22627
	.dataa(wordsync),
22628
	.datab(vcc),
22629
	.datac(vcc),
22630
	.datad(\fbuf[1][13] ),
22631
	.aclr(gnd),
22632
	.aload(gnd),
22633
	.clk(clk),
22634
	.cin(gnd),
22635
	.cascin(vcc),
22636
	.devclrn(devclrn),
22637
	.devpor(devpor),
22638
	.combout(),
22639
	.regout(\shift[2][5] ),
22640
	.cout(),
22641
	.cascout());
22642
// synopsys translate_off
22643
defparam \shift[2][5]~I .clock_enable_mode = "true";
22644
defparam \shift[2][5]~I .lut_mask = "ff00";
22645
defparam \shift[2][5]~I .operation_mode = "normal";
22646
defparam \shift[2][5]~I .output_mode = "reg_only";
22647
defparam \shift[2][5]~I .packed_mode = "false";
22648
// synopsys translate_on
22649
 
22650
// atom is at LC5_F4
22651
flex10ke_lcell \zxcolor~201_I (
22652
// Equation(s):
22653
// \zxcolor~201  = \pixnumber_rtl_4|wysi_counter|q [3] & (\shift[3][5]  # \zxcolor~1 ) # !\pixnumber_rtl_4|wysi_counter|q [3] & \shift[2][5]  & (!\zxcolor~1 )
22654
 
22655
	.dataa(\shift[2][5] ),
22656
	.datab(\pixnumber_rtl_4|wysi_counter|q [3]),
22657
	.datac(\shift[3][5] ),
22658
	.datad(\zxcolor~1 ),
22659
	.aclr(gnd),
22660
	.aload(gnd),
22661
	.clk(gnd),
22662
	.cin(gnd),
22663
	.cascin(vcc),
22664
	.devclrn(devclrn),
22665
	.devpor(devpor),
22666
	.combout(\zxcolor~201 ),
22667
	.regout(),
22668
	.cout(),
22669
	.cascout());
22670
// synopsys translate_off
22671
defparam \zxcolor~201_I .clock_enable_mode = "false";
22672
defparam \zxcolor~201_I .lut_mask = "cce2";
22673
defparam \zxcolor~201_I .operation_mode = "normal";
22674
defparam \zxcolor~201_I .output_mode = "comb_only";
22675
defparam \zxcolor~201_I .packed_mode = "false";
22676
// synopsys translate_on
22677
 
22678
// atom is at LC8_F17
22679
flex10ke_lcell \fbuf[3][3]~I (
22680
// Equation(s):
22681
// \fbuf[3][3]  = DFFEA(rddata_3, GLOBAL(\fclk~dataout ), , , \fbuf[3][14]~416 , , )
22682
 
22683
	.dataa(\fbuf[3][14]~416 ),
22684
	.datab(vcc),
22685
	.datac(vcc),
22686
	.datad(rddata_3),
22687
	.aclr(gnd),
22688
	.aload(gnd),
22689
	.clk(clk),
22690
	.cin(gnd),
22691
	.cascin(vcc),
22692
	.devclrn(devclrn),
22693
	.devpor(devpor),
22694
	.combout(),
22695
	.regout(\fbuf[3][3] ),
22696
	.cout(),
22697
	.cascout());
22698
// synopsys translate_off
22699
defparam \fbuf[3][3]~I .clock_enable_mode = "true";
22700
defparam \fbuf[3][3]~I .lut_mask = "ff00";
22701
defparam \fbuf[3][3]~I .operation_mode = "normal";
22702
defparam \fbuf[3][3]~I .output_mode = "reg_only";
22703
defparam \fbuf[3][3]~I .packed_mode = "false";
22704
// synopsys translate_on
22705
 
22706
// atom is at LC5_F17
22707
flex10ke_lcell \shift[7][3]~I (
22708
// Equation(s):
22709
// \shift[7][3]  = DFFEA(\fbuf[3][3] , GLOBAL(\fclk~dataout ), , , wordsync, , )
22710
 
22711
	.dataa(wordsync),
22712
	.datab(vcc),
22713
	.datac(vcc),
22714
	.datad(\fbuf[3][3] ),
22715
	.aclr(gnd),
22716
	.aload(gnd),
22717
	.clk(clk),
22718
	.cin(gnd),
22719
	.cascin(vcc),
22720
	.devclrn(devclrn),
22721
	.devpor(devpor),
22722
	.combout(),
22723
	.regout(\shift[7][3] ),
22724
	.cout(),
22725
	.cascout());
22726
// synopsys translate_off
22727
defparam \shift[7][3]~I .clock_enable_mode = "true";
22728
defparam \shift[7][3]~I .lut_mask = "ff00";
22729
defparam \shift[7][3]~I .operation_mode = "normal";
22730
defparam \shift[7][3]~I .output_mode = "reg_only";
22731
defparam \shift[7][3]~I .packed_mode = "false";
22732
// synopsys translate_on
22733
 
22734
// atom is at LC7_F17
22735
flex10ke_lcell \fbuf[1][3]~I (
22736
// Equation(s):
22737
// \fbuf[1][3]  = DFFEA(rddata_3, GLOBAL(\fclk~dataout ), , , \fbuf[1][14]~414 , , )
22738
 
22739
	.dataa(\fbuf[1][14]~414 ),
22740
	.datab(vcc),
22741
	.datac(vcc),
22742
	.datad(rddata_3),
22743
	.aclr(gnd),
22744
	.aload(gnd),
22745
	.clk(clk),
22746
	.cin(gnd),
22747
	.cascin(vcc),
22748
	.devclrn(devclrn),
22749
	.devpor(devpor),
22750
	.combout(),
22751
	.regout(\fbuf[1][3] ),
22752
	.cout(),
22753
	.cascout());
22754
// synopsys translate_off
22755
defparam \fbuf[1][3]~I .clock_enable_mode = "true";
22756
defparam \fbuf[1][3]~I .lut_mask = "ff00";
22757
defparam \fbuf[1][3]~I .operation_mode = "normal";
22758
defparam \fbuf[1][3]~I .output_mode = "reg_only";
22759
defparam \fbuf[1][3]~I .packed_mode = "false";
22760
// synopsys translate_on
22761
 
22762
// atom is at LC3_F17
22763
flex10ke_lcell \shift[3][3]~I (
22764
// Equation(s):
22765
// \shift[3][3]  = DFFEA(\fbuf[1][3] , GLOBAL(\fclk~dataout ), , , wordsync, , )
22766
 
22767
	.dataa(wordsync),
22768
	.datab(vcc),
22769
	.datac(vcc),
22770
	.datad(\fbuf[1][3] ),
22771
	.aclr(gnd),
22772
	.aload(gnd),
22773
	.clk(clk),
22774
	.cin(gnd),
22775
	.cascin(vcc),
22776
	.devclrn(devclrn),
22777
	.devpor(devpor),
22778
	.combout(),
22779
	.regout(\shift[3][3] ),
22780
	.cout(),
22781
	.cascout());
22782
// synopsys translate_off
22783
defparam \shift[3][3]~I .clock_enable_mode = "true";
22784
defparam \shift[3][3]~I .lut_mask = "ff00";
22785
defparam \shift[3][3]~I .operation_mode = "normal";
22786
defparam \shift[3][3]~I .output_mode = "reg_only";
22787
defparam \shift[3][3]~I .packed_mode = "false";
22788
// synopsys translate_on
22789
 
22790
// atom is at LC4_F17
22791
flex10ke_lcell \Mux16~28_I (
22792
// Equation(s):
22793
// \Mux16~28  = \pixnumber_rtl_4|wysi_counter|q [0] & (\shift[3][3]  # \pixnumber_rtl_4|wysi_counter|q [2]) # !\pixnumber_rtl_4|wysi_counter|q [0] & \shift[3][0]  & (!\pixnumber_rtl_4|wysi_counter|q [2])
22794
 
22795
	.dataa(\shift[3][0] ),
22796
	.datab(\pixnumber_rtl_4|wysi_counter|q [0]),
22797
	.datac(\shift[3][3] ),
22798
	.datad(\pixnumber_rtl_4|wysi_counter|q [2]),
22799
	.aclr(gnd),
22800
	.aload(gnd),
22801
	.clk(gnd),
22802
	.cin(gnd),
22803
	.cascin(vcc),
22804
	.devclrn(devclrn),
22805
	.devpor(devpor),
22806
	.combout(\Mux16~28 ),
22807
	.regout(),
22808
	.cout(),
22809
	.cascout());
22810
// synopsys translate_off
22811
defparam \Mux16~28_I .clock_enable_mode = "false";
22812
defparam \Mux16~28_I .lut_mask = "cce2";
22813
defparam \Mux16~28_I .operation_mode = "normal";
22814
defparam \Mux16~28_I .output_mode = "comb_only";
22815
defparam \Mux16~28_I .packed_mode = "false";
22816
// synopsys translate_on
22817
 
22818
// atom is at LC6_F17
22819
flex10ke_lcell \fbuf[3][0]~I (
22820
// Equation(s):
22821
// \fbuf[3][0]  = DFFEA(rddata_0, GLOBAL(\fclk~dataout ), , , \fbuf[3][14]~416 , , )
22822
 
22823
	.dataa(\fbuf[3][14]~416 ),
22824
	.datab(vcc),
22825
	.datac(vcc),
22826
	.datad(rddata_0),
22827
	.aclr(gnd),
22828
	.aload(gnd),
22829
	.clk(clk),
22830
	.cin(gnd),
22831
	.cascin(vcc),
22832
	.devclrn(devclrn),
22833
	.devpor(devpor),
22834
	.combout(),
22835
	.regout(\fbuf[3][0] ),
22836
	.cout(),
22837
	.cascout());
22838
// synopsys translate_off
22839
defparam \fbuf[3][0]~I .clock_enable_mode = "true";
22840
defparam \fbuf[3][0]~I .lut_mask = "ff00";
22841
defparam \fbuf[3][0]~I .operation_mode = "normal";
22842
defparam \fbuf[3][0]~I .output_mode = "reg_only";
22843
defparam \fbuf[3][0]~I .packed_mode = "false";
22844
// synopsys translate_on
22845
 
22846
// atom is at LC2_F17
22847
flex10ke_lcell \shift[7][0]~I (
22848
// Equation(s):
22849
// \shift[7][0]  = DFFEA(\fbuf[3][0] , GLOBAL(\fclk~dataout ), , , wordsync, , )
22850
 
22851
	.dataa(wordsync),
22852
	.datab(vcc),
22853
	.datac(vcc),
22854
	.datad(\fbuf[3][0] ),
22855
	.aclr(gnd),
22856
	.aload(gnd),
22857
	.clk(clk),
22858
	.cin(gnd),
22859
	.cascin(vcc),
22860
	.devclrn(devclrn),
22861
	.devpor(devpor),
22862
	.combout(),
22863
	.regout(\shift[7][0] ),
22864
	.cout(),
22865
	.cascout());
22866
// synopsys translate_off
22867
defparam \shift[7][0]~I .clock_enable_mode = "true";
22868
defparam \shift[7][0]~I .lut_mask = "ff00";
22869
defparam \shift[7][0]~I .operation_mode = "normal";
22870
defparam \shift[7][0]~I .output_mode = "reg_only";
22871
defparam \shift[7][0]~I .packed_mode = "false";
22872
// synopsys translate_on
22873
 
22874
// atom is at LC1_F17
22875
flex10ke_lcell \Mux16~29_I (
22876
// Equation(s):
22877
// \Mux16~29  = \Mux16~28  & (\shift[7][3]  # !\pixnumber_rtl_4|wysi_counter|q [2]) # !\Mux16~28  & (\pixnumber_rtl_4|wysi_counter|q [2] & \shift[7][0] )
22878
 
22879
	.dataa(\shift[7][3] ),
22880
	.datab(\Mux16~28 ),
22881
	.datac(\pixnumber_rtl_4|wysi_counter|q [2]),
22882
	.datad(\shift[7][0] ),
22883
	.aclr(gnd),
22884
	.aload(gnd),
22885
	.clk(gnd),
22886
	.cin(gnd),
22887
	.cascin(vcc),
22888
	.devclrn(devclrn),
22889
	.devpor(devpor),
22890
	.combout(\Mux16~29 ),
22891
	.regout(),
22892
	.cout(),
22893
	.cascout());
22894
// synopsys translate_off
22895
defparam \Mux16~29_I .clock_enable_mode = "false";
22896
defparam \Mux16~29_I .lut_mask = "bc8c";
22897
defparam \Mux16~29_I .operation_mode = "normal";
22898
defparam \Mux16~29_I .output_mode = "comb_only";
22899
defparam \Mux16~29_I .packed_mode = "false";
22900
// synopsys translate_on
22901
 
22902
// atom is at LC7_G6
22903
flex10ke_lcell \fbuf[2][8]~I (
22904
// Equation(s):
22905
// \fbuf[2][8]  = DFFEA(rddata_8, GLOBAL(\fclk~dataout ), , , \fbuf[2][14]~413 , , )
22906
 
22907
	.dataa(\fbuf[2][14]~413 ),
22908
	.datab(vcc),
22909
	.datac(vcc),
22910
	.datad(rddata_8),
22911
	.aclr(gnd),
22912
	.aload(gnd),
22913
	.clk(clk),
22914
	.cin(gnd),
22915
	.cascin(vcc),
22916
	.devclrn(devclrn),
22917
	.devpor(devpor),
22918
	.combout(),
22919
	.regout(\fbuf[2][8] ),
22920
	.cout(),
22921
	.cascout());
22922
// synopsys translate_off
22923
defparam \fbuf[2][8]~I .clock_enable_mode = "true";
22924
defparam \fbuf[2][8]~I .lut_mask = "ff00";
22925
defparam \fbuf[2][8]~I .operation_mode = "normal";
22926
defparam \fbuf[2][8]~I .output_mode = "reg_only";
22927
defparam \fbuf[2][8]~I .packed_mode = "false";
22928
// synopsys translate_on
22929
 
22930
// atom is at LC5_G6
22931
flex10ke_lcell \shift[4][0]~I (
22932
// Equation(s):
22933
// \shift[4][0]  = DFFEA(\fbuf[2][8] , GLOBAL(\fclk~dataout ), , , wordsync, , )
22934
 
22935
	.dataa(wordsync),
22936
	.datab(vcc),
22937
	.datac(vcc),
22938
	.datad(\fbuf[2][8] ),
22939
	.aclr(gnd),
22940
	.aload(gnd),
22941
	.clk(clk),
22942
	.cin(gnd),
22943
	.cascin(vcc),
22944
	.devclrn(devclrn),
22945
	.devpor(devpor),
22946
	.combout(),
22947
	.regout(\shift[4][0] ),
22948
	.cout(),
22949
	.cascout());
22950
// synopsys translate_off
22951
defparam \shift[4][0]~I .clock_enable_mode = "true";
22952
defparam \shift[4][0]~I .lut_mask = "ff00";
22953
defparam \shift[4][0]~I .operation_mode = "normal";
22954
defparam \shift[4][0]~I .output_mode = "reg_only";
22955
defparam \shift[4][0]~I .packed_mode = "false";
22956
// synopsys translate_on
22957
 
22958
// atom is at LC8_G6
22959
flex10ke_lcell \Mux16~25_I (
22960
// Equation(s):
22961
// \Mux16~25  = \pixnumber_rtl_4|wysi_counter|q [2] & (\shift[4][0]  # \pixnumber_rtl_4|wysi_counter|q [0]) # !\pixnumber_rtl_4|wysi_counter|q [2] & \shift[0][0]  & (!\pixnumber_rtl_4|wysi_counter|q [0])
22962
 
22963
	.dataa(\shift[0][0] ),
22964
	.datab(\pixnumber_rtl_4|wysi_counter|q [2]),
22965
	.datac(\shift[4][0] ),
22966
	.datad(\pixnumber_rtl_4|wysi_counter|q [0]),
22967
	.aclr(gnd),
22968
	.aload(gnd),
22969
	.clk(gnd),
22970
	.cin(gnd),
22971
	.cascin(vcc),
22972
	.devclrn(devclrn),
22973
	.devpor(devpor),
22974
	.combout(\Mux16~25 ),
22975
	.regout(),
22976
	.cout(),
22977
	.cascout());
22978
// synopsys translate_off
22979
defparam \Mux16~25_I .clock_enable_mode = "false";
22980
defparam \Mux16~25_I .lut_mask = "cce2";
22981
defparam \Mux16~25_I .operation_mode = "normal";
22982
defparam \Mux16~25_I .output_mode = "comb_only";
22983
defparam \Mux16~25_I .packed_mode = "false";
22984
// synopsys translate_on
22985
 
22986
// atom is at LC5_G11
22987
flex10ke_lcell \fbuf[0][11]~I (
22988
// Equation(s):
22989
// \fbuf[0][11]  = DFFEA(rddata_11, GLOBAL(\fclk~dataout ), , , \fbuf[0][14]~415 , , )
22990
 
22991
	.dataa(\fbuf[0][14]~415 ),
22992
	.datab(vcc),
22993
	.datac(vcc),
22994
	.datad(rddata_11),
22995
	.aclr(gnd),
22996
	.aload(gnd),
22997
	.clk(clk),
22998
	.cin(gnd),
22999
	.cascin(vcc),
23000
	.devclrn(devclrn),
23001
	.devpor(devpor),
23002
	.combout(),
23003
	.regout(\fbuf[0][11] ),
23004
	.cout(),
23005
	.cascout());
23006
// synopsys translate_off
23007
defparam \fbuf[0][11]~I .clock_enable_mode = "true";
23008
defparam \fbuf[0][11]~I .lut_mask = "ff00";
23009
defparam \fbuf[0][11]~I .operation_mode = "normal";
23010
defparam \fbuf[0][11]~I .output_mode = "reg_only";
23011
defparam \fbuf[0][11]~I .packed_mode = "false";
23012
// synopsys translate_on
23013
 
23014
// atom is at LC2_G11
23015
flex10ke_lcell \shift[0][3]~I (
23016
// Equation(s):
23017
// \shift[0][3]  = DFFEA(\fbuf[0][11] , GLOBAL(\fclk~dataout ), , , wordsync, , )
23018
 
23019
	.dataa(wordsync),
23020
	.datab(vcc),
23021
	.datac(vcc),
23022
	.datad(\fbuf[0][11] ),
23023
	.aclr(gnd),
23024
	.aload(gnd),
23025
	.clk(clk),
23026
	.cin(gnd),
23027
	.cascin(vcc),
23028
	.devclrn(devclrn),
23029
	.devpor(devpor),
23030
	.combout(),
23031
	.regout(\shift[0][3] ),
23032
	.cout(),
23033
	.cascout());
23034
// synopsys translate_off
23035
defparam \shift[0][3]~I .clock_enable_mode = "true";
23036
defparam \shift[0][3]~I .lut_mask = "ff00";
23037
defparam \shift[0][3]~I .operation_mode = "normal";
23038
defparam \shift[0][3]~I .output_mode = "reg_only";
23039
defparam \shift[0][3]~I .packed_mode = "false";
23040
// synopsys translate_on
23041
 
23042
// atom is at LC3_G11
23043
flex10ke_lcell \Mux16~26_I (
23044
// Equation(s):
23045
// \Mux16~26  = \Mux16~25  & (\shift[4][3]  # !\pixnumber_rtl_4|wysi_counter|q [0]) # !\Mux16~25  & (\pixnumber_rtl_4|wysi_counter|q [0] & \shift[0][3] )
23046
 
23047
	.dataa(\shift[4][3] ),
23048
	.datab(\Mux16~25 ),
23049
	.datac(\pixnumber_rtl_4|wysi_counter|q [0]),
23050
	.datad(\shift[0][3] ),
23051
	.aclr(gnd),
23052
	.aload(gnd),
23053
	.clk(gnd),
23054
	.cin(gnd),
23055
	.cascin(vcc),
23056
	.devclrn(devclrn),
23057
	.devpor(devpor),
23058
	.combout(\Mux16~26 ),
23059
	.regout(),
23060
	.cout(),
23061
	.cascout());
23062
// synopsys translate_off
23063
defparam \Mux16~26_I .clock_enable_mode = "false";
23064
defparam \Mux16~26_I .lut_mask = "bc8c";
23065
defparam \Mux16~26_I .operation_mode = "normal";
23066
defparam \Mux16~26_I .output_mode = "comb_only";
23067
defparam \Mux16~26_I .packed_mode = "false";
23068
// synopsys translate_on
23069
 
23070
// atom is at LC7_F11
23071
flex10ke_lcell \fbuf[3][8]~I (
23072
// Equation(s):
23073
// \fbuf[3][8]  = DFFEA(rddata_8, GLOBAL(\fclk~dataout ), , , \fbuf[3][14]~416 , , )
23074
 
23075
	.dataa(\fbuf[3][14]~416 ),
23076
	.datab(vcc),
23077
	.datac(vcc),
23078
	.datad(rddata_8),
23079
	.aclr(gnd),
23080
	.aload(gnd),
23081
	.clk(clk),
23082
	.cin(gnd),
23083
	.cascin(vcc),
23084
	.devclrn(devclrn),
23085
	.devpor(devpor),
23086
	.combout(),
23087
	.regout(\fbuf[3][8] ),
23088
	.cout(),
23089
	.cascout());
23090
// synopsys translate_off
23091
defparam \fbuf[3][8]~I .clock_enable_mode = "true";
23092
defparam \fbuf[3][8]~I .lut_mask = "ff00";
23093
defparam \fbuf[3][8]~I .operation_mode = "normal";
23094
defparam \fbuf[3][8]~I .output_mode = "reg_only";
23095
defparam \fbuf[3][8]~I .packed_mode = "false";
23096
// synopsys translate_on
23097
 
23098
// atom is at LC3_F11
23099
flex10ke_lcell \shift[6][0]~I (
23100
// Equation(s):
23101
// \shift[6][0]  = DFFEA(\fbuf[3][8] , GLOBAL(\fclk~dataout ), , , wordsync, , )
23102
 
23103
	.dataa(wordsync),
23104
	.datab(vcc),
23105
	.datac(vcc),
23106
	.datad(\fbuf[3][8] ),
23107
	.aclr(gnd),
23108
	.aload(gnd),
23109
	.clk(clk),
23110
	.cin(gnd),
23111
	.cascin(vcc),
23112
	.devclrn(devclrn),
23113
	.devpor(devpor),
23114
	.combout(),
23115
	.regout(\shift[6][0] ),
23116
	.cout(),
23117
	.cascout());
23118
// synopsys translate_off
23119
defparam \shift[6][0]~I .clock_enable_mode = "true";
23120
defparam \shift[6][0]~I .lut_mask = "ff00";
23121
defparam \shift[6][0]~I .operation_mode = "normal";
23122
defparam \shift[6][0]~I .output_mode = "reg_only";
23123
defparam \shift[6][0]~I .packed_mode = "false";
23124
// synopsys translate_on
23125
 
23126
// atom is at LC4_F11
23127
flex10ke_lcell \Mux16~23_I (
23128
// Equation(s):
23129
// \Mux16~23  = \pixnumber_rtl_4|wysi_counter|q [2] & (\shift[6][0]  # \pixnumber_rtl_4|wysi_counter|q [0]) # !\pixnumber_rtl_4|wysi_counter|q [2] & \shift[2][0]  & (!\pixnumber_rtl_4|wysi_counter|q [0])
23130
 
23131
	.dataa(\shift[2][0] ),
23132
	.datab(\pixnumber_rtl_4|wysi_counter|q [2]),
23133
	.datac(\shift[6][0] ),
23134
	.datad(\pixnumber_rtl_4|wysi_counter|q [0]),
23135
	.aclr(gnd),
23136
	.aload(gnd),
23137
	.clk(gnd),
23138
	.cin(gnd),
23139
	.cascin(vcc),
23140
	.devclrn(devclrn),
23141
	.devpor(devpor),
23142
	.combout(\Mux16~23 ),
23143
	.regout(),
23144
	.cout(),
23145
	.cascout());
23146
// synopsys translate_off
23147
defparam \Mux16~23_I .clock_enable_mode = "false";
23148
defparam \Mux16~23_I .lut_mask = "cce2";
23149
defparam \Mux16~23_I .operation_mode = "normal";
23150
defparam \Mux16~23_I .output_mode = "comb_only";
23151
defparam \Mux16~23_I .packed_mode = "false";
23152
// synopsys translate_on
23153
 
23154
// atom is at LC6_F11
23155
flex10ke_lcell \fbuf[1][11]~I (
23156
// Equation(s):
23157
// \fbuf[1][11]  = DFFEA(rddata_11, GLOBAL(\fclk~dataout ), , , \fbuf[1][14]~414 , , )
23158
 
23159
	.dataa(\fbuf[1][14]~414 ),
23160
	.datab(vcc),
23161
	.datac(vcc),
23162
	.datad(rddata_11),
23163
	.aclr(gnd),
23164
	.aload(gnd),
23165
	.clk(clk),
23166
	.cin(gnd),
23167
	.cascin(vcc),
23168
	.devclrn(devclrn),
23169
	.devpor(devpor),
23170
	.combout(),
23171
	.regout(\fbuf[1][11] ),
23172
	.cout(),
23173
	.cascout());
23174
// synopsys translate_off
23175
defparam \fbuf[1][11]~I .clock_enable_mode = "true";
23176
defparam \fbuf[1][11]~I .lut_mask = "ff00";
23177
defparam \fbuf[1][11]~I .operation_mode = "normal";
23178
defparam \fbuf[1][11]~I .output_mode = "reg_only";
23179
defparam \fbuf[1][11]~I .packed_mode = "false";
23180
// synopsys translate_on
23181
 
23182
// atom is at LC2_F11
23183
flex10ke_lcell \shift[2][3]~I (
23184
// Equation(s):
23185
// \shift[2][3]  = DFFEA(\fbuf[1][11] , GLOBAL(\fclk~dataout ), , , wordsync, , )
23186
 
23187
	.dataa(wordsync),
23188
	.datab(vcc),
23189
	.datac(vcc),
23190
	.datad(\fbuf[1][11] ),
23191
	.aclr(gnd),
23192
	.aload(gnd),
23193
	.clk(clk),
23194
	.cin(gnd),
23195
	.cascin(vcc),
23196
	.devclrn(devclrn),
23197
	.devpor(devpor),
23198
	.combout(),
23199
	.regout(\shift[2][3] ),
23200
	.cout(),
23201
	.cascout());
23202
// synopsys translate_off
23203
defparam \shift[2][3]~I .clock_enable_mode = "true";
23204
defparam \shift[2][3]~I .lut_mask = "ff00";
23205
defparam \shift[2][3]~I .operation_mode = "normal";
23206
defparam \shift[2][3]~I .output_mode = "reg_only";
23207
defparam \shift[2][3]~I .packed_mode = "false";
23208
// synopsys translate_on
23209
 
23210
// atom is at LC1_F11
23211
flex10ke_lcell \Mux16~24_I (
23212
// Equation(s):
23213
// \Mux16~24  = \Mux16~23  & (\shift[6][3]  # !\pixnumber_rtl_4|wysi_counter|q [0]) # !\Mux16~23  & (\pixnumber_rtl_4|wysi_counter|q [0] & \shift[2][3] )
23214
 
23215
	.dataa(\shift[6][3] ),
23216
	.datab(\Mux16~23 ),
23217
	.datac(\pixnumber_rtl_4|wysi_counter|q [0]),
23218
	.datad(\shift[2][3] ),
23219
	.aclr(gnd),
23220
	.aload(gnd),
23221
	.clk(gnd),
23222
	.cin(gnd),
23223
	.cascin(vcc),
23224
	.devclrn(devclrn),
23225
	.devpor(devpor),
23226
	.combout(\Mux16~24 ),
23227
	.regout(),
23228
	.cout(),
23229
	.cascout());
23230
// synopsys translate_off
23231
defparam \Mux16~24_I .clock_enable_mode = "false";
23232
defparam \Mux16~24_I .lut_mask = "bc8c";
23233
defparam \Mux16~24_I .operation_mode = "normal";
23234
defparam \Mux16~24_I .output_mode = "comb_only";
23235
defparam \Mux16~24_I .packed_mode = "false";
23236
// synopsys translate_on
23237
 
23238
// atom is at LC4_G11
23239
flex10ke_lcell \Mux16~27_I (
23240
// Equation(s):
23241
// \Mux16~27  = \pixnumber_rtl_4|wysi_counter|q [1] & (\Mux16~24  # \pixnumber_rtl_4|wysi_counter|q [3]) # !\pixnumber_rtl_4|wysi_counter|q [1] & \Mux16~26  & (!\pixnumber_rtl_4|wysi_counter|q [3])
23242
 
23243
	.dataa(\Mux16~26 ),
23244
	.datab(\pixnumber_rtl_4|wysi_counter|q [1]),
23245
	.datac(\Mux16~24 ),
23246
	.datad(\pixnumber_rtl_4|wysi_counter|q [3]),
23247
	.aclr(gnd),
23248
	.aload(gnd),
23249
	.clk(gnd),
23250
	.cin(gnd),
23251
	.cascin(vcc),
23252
	.devclrn(devclrn),
23253
	.devpor(devpor),
23254
	.combout(\Mux16~27 ),
23255
	.regout(),
23256
	.cout(),
23257
	.cascout());
23258
// synopsys translate_off
23259
defparam \Mux16~27_I .clock_enable_mode = "false";
23260
defparam \Mux16~27_I .lut_mask = "cce2";
23261
defparam \Mux16~27_I .operation_mode = "normal";
23262
defparam \Mux16~27_I .output_mode = "comb_only";
23263
defparam \Mux16~27_I .packed_mode = "false";
23264
// synopsys translate_on
23265
 
23266
// atom is at LC6_G4
23267
flex10ke_lcell \fbuf[2][3]~I (
23268
// Equation(s):
23269
// \fbuf[2][3]  = DFFEA(rddata_3, GLOBAL(\fclk~dataout ), , , \fbuf[2][14]~413 , , )
23270
 
23271
	.dataa(\fbuf[2][14]~413 ),
23272
	.datab(vcc),
23273
	.datac(vcc),
23274
	.datad(rddata_3),
23275
	.aclr(gnd),
23276
	.aload(gnd),
23277
	.clk(clk),
23278
	.cin(gnd),
23279
	.cascin(vcc),
23280
	.devclrn(devclrn),
23281
	.devpor(devpor),
23282
	.combout(),
23283
	.regout(\fbuf[2][3] ),
23284
	.cout(),
23285
	.cascout());
23286
// synopsys translate_off
23287
defparam \fbuf[2][3]~I .clock_enable_mode = "true";
23288
defparam \fbuf[2][3]~I .lut_mask = "ff00";
23289
defparam \fbuf[2][3]~I .operation_mode = "normal";
23290
defparam \fbuf[2][3]~I .output_mode = "reg_only";
23291
defparam \fbuf[2][3]~I .packed_mode = "false";
23292
// synopsys translate_on
23293
 
23294
// atom is at LC3_G4
23295
flex10ke_lcell \shift[5][3]~I (
23296
// Equation(s):
23297
// \shift[5][3]  = DFFEA(\fbuf[2][3] , GLOBAL(\fclk~dataout ), , , wordsync, , )
23298
 
23299
	.dataa(wordsync),
23300
	.datab(vcc),
23301
	.datac(vcc),
23302
	.datad(\fbuf[2][3] ),
23303
	.aclr(gnd),
23304
	.aload(gnd),
23305
	.clk(clk),
23306
	.cin(gnd),
23307
	.cascin(vcc),
23308
	.devclrn(devclrn),
23309
	.devpor(devpor),
23310
	.combout(),
23311
	.regout(\shift[5][3] ),
23312
	.cout(),
23313
	.cascout());
23314
// synopsys translate_off
23315
defparam \shift[5][3]~I .clock_enable_mode = "true";
23316
defparam \shift[5][3]~I .lut_mask = "ff00";
23317
defparam \shift[5][3]~I .operation_mode = "normal";
23318
defparam \shift[5][3]~I .output_mode = "reg_only";
23319
defparam \shift[5][3]~I .packed_mode = "false";
23320
// synopsys translate_on
23321
 
23322
// atom is at LC4_G17
23323
flex10ke_lcell \fbuf[2][0]~I (
23324
// Equation(s):
23325
// \fbuf[2][0]  = DFFEA(rddata_0, GLOBAL(\fclk~dataout ), , , \fbuf[2][14]~413 , , )
23326
 
23327
	.dataa(\fbuf[2][14]~413 ),
23328
	.datab(vcc),
23329
	.datac(vcc),
23330
	.datad(rddata_0),
23331
	.aclr(gnd),
23332
	.aload(gnd),
23333
	.clk(clk),
23334
	.cin(gnd),
23335
	.cascin(vcc),
23336
	.devclrn(devclrn),
23337
	.devpor(devpor),
23338
	.combout(),
23339
	.regout(\fbuf[2][0] ),
23340
	.cout(),
23341
	.cascout());
23342
// synopsys translate_off
23343
defparam \fbuf[2][0]~I .clock_enable_mode = "true";
23344
defparam \fbuf[2][0]~I .lut_mask = "ff00";
23345
defparam \fbuf[2][0]~I .operation_mode = "normal";
23346
defparam \fbuf[2][0]~I .output_mode = "reg_only";
23347
defparam \fbuf[2][0]~I .packed_mode = "false";
23348
// synopsys translate_on
23349
 
23350
// atom is at LC2_G17
23351
flex10ke_lcell \shift[5][0]~I (
23352
// Equation(s):
23353
// \shift[5][0]  = DFFEA(\fbuf[2][0] , GLOBAL(\fclk~dataout ), , , wordsync, , )
23354
 
23355
	.dataa(wordsync),
23356
	.datab(vcc),
23357
	.datac(vcc),
23358
	.datad(\fbuf[2][0] ),
23359
	.aclr(gnd),
23360
	.aload(gnd),
23361
	.clk(clk),
23362
	.cin(gnd),
23363
	.cascin(vcc),
23364
	.devclrn(devclrn),
23365
	.devpor(devpor),
23366
	.combout(),
23367
	.regout(\shift[5][0] ),
23368
	.cout(),
23369
	.cascout());
23370
// synopsys translate_off
23371
defparam \shift[5][0]~I .clock_enable_mode = "true";
23372
defparam \shift[5][0]~I .lut_mask = "ff00";
23373
defparam \shift[5][0]~I .operation_mode = "normal";
23374
defparam \shift[5][0]~I .output_mode = "reg_only";
23375
defparam \shift[5][0]~I .packed_mode = "false";
23376
// synopsys translate_on
23377
 
23378
// atom is at LC3_G6
23379
flex10ke_lcell \Mux16~21_I (
23380
// Equation(s):
23381
// \Mux16~21  = \pixnumber_rtl_4|wysi_counter|q [2] & (\shift[5][0]  # \pixnumber_rtl_4|wysi_counter|q [0]) # !\pixnumber_rtl_4|wysi_counter|q [2] & \shift[1][0]  & (!\pixnumber_rtl_4|wysi_counter|q [0])
23382
 
23383
	.dataa(\shift[1][0] ),
23384
	.datab(\pixnumber_rtl_4|wysi_counter|q [2]),
23385
	.datac(\shift[5][0] ),
23386
	.datad(\pixnumber_rtl_4|wysi_counter|q [0]),
23387
	.aclr(gnd),
23388
	.aload(gnd),
23389
	.clk(gnd),
23390
	.cin(gnd),
23391
	.cascin(vcc),
23392
	.devclrn(devclrn),
23393
	.devpor(devpor),
23394
	.combout(\Mux16~21 ),
23395
	.regout(),
23396
	.cout(),
23397
	.cascout());
23398
// synopsys translate_off
23399
defparam \Mux16~21_I .clock_enable_mode = "false";
23400
defparam \Mux16~21_I .lut_mask = "cce2";
23401
defparam \Mux16~21_I .operation_mode = "normal";
23402
defparam \Mux16~21_I .output_mode = "comb_only";
23403
defparam \Mux16~21_I .packed_mode = "false";
23404
// synopsys translate_on
23405
 
23406
// atom is at LC4_G4
23407
flex10ke_lcell \fbuf[0][3]~I (
23408
// Equation(s):
23409
// \fbuf[0][3]  = DFFEA(rddata_3, GLOBAL(\fclk~dataout ), , , \fbuf[0][14]~415 , , )
23410
 
23411
	.dataa(\fbuf[0][14]~415 ),
23412
	.datab(vcc),
23413
	.datac(vcc),
23414
	.datad(rddata_3),
23415
	.aclr(gnd),
23416
	.aload(gnd),
23417
	.clk(clk),
23418
	.cin(gnd),
23419
	.cascin(vcc),
23420
	.devclrn(devclrn),
23421
	.devpor(devpor),
23422
	.combout(),
23423
	.regout(\fbuf[0][3] ),
23424
	.cout(),
23425
	.cascout());
23426
// synopsys translate_off
23427
defparam \fbuf[0][3]~I .clock_enable_mode = "true";
23428
defparam \fbuf[0][3]~I .lut_mask = "ff00";
23429
defparam \fbuf[0][3]~I .operation_mode = "normal";
23430
defparam \fbuf[0][3]~I .output_mode = "reg_only";
23431
defparam \fbuf[0][3]~I .packed_mode = "false";
23432
// synopsys translate_on
23433
 
23434
// atom is at LC2_G4
23435
flex10ke_lcell \shift[1][3]~I (
23436
// Equation(s):
23437
// \shift[1][3]  = DFFEA(\fbuf[0][3] , GLOBAL(\fclk~dataout ), , , wordsync, , )
23438
 
23439
	.dataa(wordsync),
23440
	.datab(vcc),
23441
	.datac(vcc),
23442
	.datad(\fbuf[0][3] ),
23443
	.aclr(gnd),
23444
	.aload(gnd),
23445
	.clk(clk),
23446
	.cin(gnd),
23447
	.cascin(vcc),
23448
	.devclrn(devclrn),
23449
	.devpor(devpor),
23450
	.combout(),
23451
	.regout(\shift[1][3] ),
23452
	.cout(),
23453
	.cascout());
23454
// synopsys translate_off
23455
defparam \shift[1][3]~I .clock_enable_mode = "true";
23456
defparam \shift[1][3]~I .lut_mask = "ff00";
23457
defparam \shift[1][3]~I .operation_mode = "normal";
23458
defparam \shift[1][3]~I .output_mode = "reg_only";
23459
defparam \shift[1][3]~I .packed_mode = "false";
23460
// synopsys translate_on
23461
 
23462
// atom is at LC5_G4
23463
flex10ke_lcell \Mux16~22_I (
23464
// Equation(s):
23465
// \Mux16~22  = \Mux16~21  & (\shift[5][3]  # !\pixnumber_rtl_4|wysi_counter|q [0]) # !\Mux16~21  & (\pixnumber_rtl_4|wysi_counter|q [0] & \shift[1][3] )
23466
 
23467
	.dataa(\shift[5][3] ),
23468
	.datab(\Mux16~21 ),
23469
	.datac(\pixnumber_rtl_4|wysi_counter|q [0]),
23470
	.datad(\shift[1][3] ),
23471
	.aclr(gnd),
23472
	.aload(gnd),
23473
	.clk(gnd),
23474
	.cin(gnd),
23475
	.cascin(vcc),
23476
	.devclrn(devclrn),
23477
	.devpor(devpor),
23478
	.combout(\Mux16~22 ),
23479
	.regout(),
23480
	.cout(),
23481
	.cascout());
23482
// synopsys translate_off
23483
defparam \Mux16~22_I .clock_enable_mode = "false";
23484
defparam \Mux16~22_I .lut_mask = "bc8c";
23485
defparam \Mux16~22_I .operation_mode = "normal";
23486
defparam \Mux16~22_I .output_mode = "comb_only";
23487
defparam \Mux16~22_I .packed_mode = "false";
23488
// synopsys translate_on
23489
 
23490
// atom is at LC5_G17
23491
flex10ke_lcell \fbuf[1][0]~I (
23492
// Equation(s):
23493
// \fbuf[1][0]  = DFFEA(rddata_0, GLOBAL(\fclk~dataout ), , , \fbuf[1][14]~414 , , )
23494
 
23495
	.dataa(\fbuf[1][14]~414 ),
23496
	.datab(vcc),
23497
	.datac(vcc),
23498
	.datad(rddata_0),
23499
	.aclr(gnd),
23500
	.aload(gnd),
23501
	.clk(clk),
23502
	.cin(gnd),
23503
	.cascin(vcc),
23504
	.devclrn(devclrn),
23505
	.devpor(devpor),
23506
	.combout(),
23507
	.regout(\fbuf[1][0] ),
23508
	.cout(),
23509
	.cascout());
23510
// synopsys translate_off
23511
defparam \fbuf[1][0]~I .clock_enable_mode = "true";
23512
defparam \fbuf[1][0]~I .lut_mask = "ff00";
23513
defparam \fbuf[1][0]~I .operation_mode = "normal";
23514
defparam \fbuf[1][0]~I .output_mode = "reg_only";
23515
defparam \fbuf[1][0]~I .packed_mode = "false";
23516
// synopsys translate_on
23517
 
23518
// atom is at LC1_G17
23519
flex10ke_lcell \shift[3][0]~I (
23520
// Equation(s):
23521
// \shift[3][0]  = DFFEA(\fbuf[1][0] , GLOBAL(\fclk~dataout ), , , wordsync, , )
23522
 
23523
	.dataa(wordsync),
23524
	.datab(vcc),
23525
	.datac(vcc),
23526
	.datad(\fbuf[1][0] ),
23527
	.aclr(gnd),
23528
	.aload(gnd),
23529
	.clk(clk),
23530
	.cin(gnd),
23531
	.cascin(vcc),
23532
	.devclrn(devclrn),
23533
	.devpor(devpor),
23534
	.combout(),
23535
	.regout(\shift[3][0] ),
23536
	.cout(),
23537
	.cascout());
23538
// synopsys translate_off
23539
defparam \shift[3][0]~I .clock_enable_mode = "true";
23540
defparam \shift[3][0]~I .lut_mask = "ff00";
23541
defparam \shift[3][0]~I .operation_mode = "normal";
23542
defparam \shift[3][0]~I .output_mode = "reg_only";
23543
defparam \shift[3][0]~I .packed_mode = "false";
23544
// synopsys translate_on
23545
 
23546
// atom is at LC6_F1
23547
flex10ke_lcell \zxcolor~204_I (
23548
// Equation(s):
23549
// \zxcolor~204  = \pixnumber_rtl_4|wysi_counter|q [3] & (\shift[3][3]  # \zxcolor~1 ) # !\pixnumber_rtl_4|wysi_counter|q [3] & \shift[2][3]  & (!\zxcolor~1 )
23550
 
23551
	.dataa(\shift[2][3] ),
23552
	.datab(\pixnumber_rtl_4|wysi_counter|q [3]),
23553
	.datac(\shift[3][3] ),
23554
	.datad(\zxcolor~1 ),
23555
	.aclr(gnd),
23556
	.aload(gnd),
23557
	.clk(gnd),
23558
	.cin(gnd),
23559
	.cascin(vcc),
23560
	.devclrn(devclrn),
23561
	.devpor(devpor),
23562
	.combout(\zxcolor~204 ),
23563
	.regout(),
23564
	.cout(),
23565
	.cascout());
23566
// synopsys translate_off
23567
defparam \zxcolor~204_I .clock_enable_mode = "false";
23568
defparam \zxcolor~204_I .lut_mask = "cce2";
23569
defparam \zxcolor~204_I .operation_mode = "normal";
23570
defparam \zxcolor~204_I .output_mode = "comb_only";
23571
defparam \zxcolor~204_I .packed_mode = "false";
23572
// synopsys translate_on
23573
 
23574
// atom is at LC1_F16
23575
flex10ke_lcell \fbuf[1][8]~I (
23576
// Equation(s):
23577
// \fbuf[1][8]  = DFFEA(rddata_8, GLOBAL(\fclk~dataout ), , , \fbuf[1][14]~414 , , )
23578
 
23579
	.dataa(\fbuf[1][14]~414 ),
23580
	.datab(vcc),
23581
	.datac(vcc),
23582
	.datad(rddata_8),
23583
	.aclr(gnd),
23584
	.aload(gnd),
23585
	.clk(clk),
23586
	.cin(gnd),
23587
	.cascin(vcc),
23588
	.devclrn(devclrn),
23589
	.devpor(devpor),
23590
	.combout(),
23591
	.regout(\fbuf[1][8] ),
23592
	.cout(),
23593
	.cascout());
23594
// synopsys translate_off
23595
defparam \fbuf[1][8]~I .clock_enable_mode = "true";
23596
defparam \fbuf[1][8]~I .lut_mask = "ff00";
23597
defparam \fbuf[1][8]~I .operation_mode = "normal";
23598
defparam \fbuf[1][8]~I .output_mode = "reg_only";
23599
defparam \fbuf[1][8]~I .packed_mode = "false";
23600
// synopsys translate_on
23601
 
23602
// atom is at LC2_F16
23603
flex10ke_lcell \shift[2][0]~I (
23604
// Equation(s):
23605
// \shift[2][0]  = DFFEA(\fbuf[1][8] , GLOBAL(\fclk~dataout ), , , wordsync, , )
23606
 
23607
	.dataa(wordsync),
23608
	.datab(vcc),
23609
	.datac(vcc),
23610
	.datad(\fbuf[1][8] ),
23611
	.aclr(gnd),
23612
	.aload(gnd),
23613
	.clk(clk),
23614
	.cin(gnd),
23615
	.cascin(vcc),
23616
	.devclrn(devclrn),
23617
	.devpor(devpor),
23618
	.combout(),
23619
	.regout(\shift[2][0] ),
23620
	.cout(),
23621
	.cascout());
23622
// synopsys translate_off
23623
defparam \shift[2][0]~I .clock_enable_mode = "true";
23624
defparam \shift[2][0]~I .lut_mask = "ff00";
23625
defparam \shift[2][0]~I .operation_mode = "normal";
23626
defparam \shift[2][0]~I .output_mode = "reg_only";
23627
defparam \shift[2][0]~I .packed_mode = "false";
23628
// synopsys translate_on
23629
 
23630
// atom is at LC1_I22
23631
flex10ke_lcell \hcnt~59_I (
23632
// Equation(s):
23633
// \hcnt~59  = video_next & dcnt_0 & (dcnt_1 # !peff7_int_0)
23634
 
23635
	.dataa(peff7_int_0),
23636
	.datab(dcnt_1),
23637
	.datac(video_next),
23638
	.datad(dcnt_0),
23639
	.aclr(gnd),
23640
	.aload(gnd),
23641
	.clk(gnd),
23642
	.cin(gnd),
23643
	.cascin(vcc),
23644
	.devclrn(devclrn),
23645
	.devpor(devpor),
23646
	.combout(\hcnt~59 ),
23647
	.regout(),
23648
	.cout(),
23649
	.cascout());
23650
// synopsys translate_off
23651
defparam \hcnt~59_I .clock_enable_mode = "false";
23652
defparam \hcnt~59_I .lut_mask = "d000";
23653
defparam \hcnt~59_I .operation_mode = "normal";
23654
defparam \hcnt~59_I .output_mode = "comb_only";
23655
defparam \hcnt~59_I .packed_mode = "false";
23656
// synopsys translate_on
23657
 
23658
endmodule
23659
 
23660
module resetter (
23661
	rst_out_n,
23662
	rst_in_n,
23663
	clk,
23664
	rst_out_n1,
23665
	devpor,
23666
	devclrn,
23667
	devoe);
23668
output 	rst_out_n;
23669
input 	rst_in_n;
23670
input 	clk;
23671
output 	rst_out_n1;
23672
input 	devpor;
23673
input 	devclrn;
23674
input 	devoe;
23675
 
23676
wire gnd = 1'b0;
23677
wire vcc = 1'b1;
23678
 
23679
wire rst1_n;
23680
wire rst2_n;
23681
wire \rst_cnt_rtl_3|wysi_counter|counter_cell[6]~0 ;
23682
wire \rst_cnt_rtl_3|wysi_counter|counter_cell[0]~COUT ;
23683
wire \rst_cnt_rtl_3|wysi_counter|counter_cell[1]~COUT ;
23684
wire \rst_cnt_rtl_3|wysi_counter|counter_cell[2]~COUT ;
23685
wire \rst_cnt_rtl_3|wysi_counter|counter_cell[3]~COUT ;
23686
wire \rst_cnt_rtl_3|wysi_counter|counter_cell[4]~COUT ;
23687
wire \rst_cnt_rtl_3|wysi_counter|counter_cell[5]~COUT ;
23688
wire [6:0] \rst_cnt_rtl_3|wysi_counter|q ;
23689
 
23690
 
23691
// atom is at LC8_J6
23692
flex10ke_lcell \rst_out_n~I (
23693
// Equation(s):
23694
// rst_out_n = DFFEA(VCC, GLOBAL(\fclk~dataout ), !genrst, , \rst_cnt_rtl_3|wysi_counter|q [6], , )
23695
 
23696
	.dataa(\rst_cnt_rtl_3|wysi_counter|q [6]),
23697
	.datab(vcc),
23698
	.datac(vcc),
23699
	.datad(vcc),
23700
	.aclr(rst_in_n),
23701
	.aload(gnd),
23702
	.clk(clk),
23703
	.cin(gnd),
23704
	.cascin(vcc),
23705
	.devclrn(devclrn),
23706
	.devpor(devpor),
23707
	.combout(),
23708
	.regout(rst_out_n),
23709
	.cout(),
23710
	.cascout());
23711
// synopsys translate_off
23712
defparam \rst_out_n~I .clock_enable_mode = "true";
23713
defparam \rst_out_n~I .lut_mask = "ffff";
23714
defparam \rst_out_n~I .operation_mode = "normal";
23715
defparam \rst_out_n~I .output_mode = "reg_only";
23716
defparam \rst_out_n~I .packed_mode = "false";
23717
// synopsys translate_on
23718
 
23719
// atom is at LC8_J3
23720
flex10ke_lcell \rst_out_n~9_I (
23721
// Equation(s):
23722
// rst_out_n1 = DFFEA(VCC, GLOBAL(\fclk~dataout ), !genrst, , \rst_cnt_rtl_3|wysi_counter|q [6], , )
23723
 
23724
	.dataa(\rst_cnt_rtl_3|wysi_counter|q [6]),
23725
	.datab(vcc),
23726
	.datac(vcc),
23727
	.datad(vcc),
23728
	.aclr(rst_in_n),
23729
	.aload(gnd),
23730
	.clk(clk),
23731
	.cin(gnd),
23732
	.cascin(vcc),
23733
	.devclrn(devclrn),
23734
	.devpor(devpor),
23735
	.combout(),
23736
	.regout(rst_out_n1),
23737
	.cout(),
23738
	.cascout());
23739
// synopsys translate_off
23740
defparam \rst_out_n~9_I .clock_enable_mode = "true";
23741
defparam \rst_out_n~9_I .lut_mask = "ffff";
23742
defparam \rst_out_n~9_I .operation_mode = "normal";
23743
defparam \rst_out_n~9_I .output_mode = "reg_only";
23744
defparam \rst_out_n~9_I .packed_mode = "false";
23745
// synopsys translate_on
23746
 
23747
// atom is at LC5_J6
23748
flex10ke_lcell \rst1_n~I (
23749
// Equation(s):
23750
// rst1_n = DFFEA(VCC, GLOBAL(\fclk~dataout ), !genrst, , , , )
23751
 
23752
	.dataa(vcc),
23753
	.datab(vcc),
23754
	.datac(vcc),
23755
	.datad(vcc),
23756
	.aclr(rst_in_n),
23757
	.aload(gnd),
23758
	.clk(clk),
23759
	.cin(gnd),
23760
	.cascin(vcc),
23761
	.devclrn(devclrn),
23762
	.devpor(devpor),
23763
	.combout(),
23764
	.regout(rst1_n),
23765
	.cout(),
23766
	.cascout());
23767
// synopsys translate_off
23768
defparam \rst1_n~I .clock_enable_mode = "false";
23769
defparam \rst1_n~I .lut_mask = "ffff";
23770
defparam \rst1_n~I .operation_mode = "normal";
23771
defparam \rst1_n~I .output_mode = "reg_only";
23772
defparam \rst1_n~I .packed_mode = "false";
23773
// synopsys translate_on
23774
 
23775
// atom is at LC2_J6
23776
flex10ke_lcell \rst2_n~I (
23777
// Equation(s):
23778
// rst2_n = DFFEA(rst1_n, GLOBAL(\fclk~dataout ), !genrst, , , , )
23779
 
23780
	.dataa(vcc),
23781
	.datab(vcc),
23782
	.datac(vcc),
23783
	.datad(rst1_n),
23784
	.aclr(rst_in_n),
23785
	.aload(gnd),
23786
	.clk(clk),
23787
	.cin(gnd),
23788
	.cascin(vcc),
23789
	.devclrn(devclrn),
23790
	.devpor(devpor),
23791
	.combout(),
23792
	.regout(rst2_n),
23793
	.cout(),
23794
	.cascout());
23795
// synopsys translate_off
23796
defparam \rst2_n~I .clock_enable_mode = "false";
23797
defparam \rst2_n~I .lut_mask = "ff00";
23798
defparam \rst2_n~I .operation_mode = "normal";
23799
defparam \rst2_n~I .output_mode = "reg_only";
23800
defparam \rst2_n~I .packed_mode = "false";
23801
// synopsys translate_on
23802
 
23803
// atom is at LC1_J6
23804
flex10ke_lcell \rst_cnt_rtl_3|wysi_counter|counter_cell[6]~0_I (
23805
// Equation(s):
23806
// \rst_cnt_rtl_3|wysi_counter|counter_cell[6]~0  = !\rst_cnt_rtl_3|wysi_counter|q [6] & rst2_n
23807
 
23808
	.dataa(vcc),
23809
	.datab(vcc),
23810
	.datac(\rst_cnt_rtl_3|wysi_counter|q [6]),
23811
	.datad(rst2_n),
23812
	.aclr(gnd),
23813
	.aload(gnd),
23814
	.clk(gnd),
23815
	.cin(gnd),
23816
	.cascin(vcc),
23817
	.devclrn(devclrn),
23818
	.devpor(devpor),
23819
	.combout(\rst_cnt_rtl_3|wysi_counter|counter_cell[6]~0 ),
23820
	.regout(),
23821
	.cout(),
23822
	.cascout());
23823
// synopsys translate_off
23824
defparam \rst_cnt_rtl_3|wysi_counter|counter_cell[6]~0_I .clock_enable_mode = "false";
23825
defparam \rst_cnt_rtl_3|wysi_counter|counter_cell[6]~0_I .lut_mask = "0f00";
23826
defparam \rst_cnt_rtl_3|wysi_counter|counter_cell[6]~0_I .operation_mode = "normal";
23827
defparam \rst_cnt_rtl_3|wysi_counter|counter_cell[6]~0_I .output_mode = "comb_only";
23828
defparam \rst_cnt_rtl_3|wysi_counter|counter_cell[6]~0_I .packed_mode = "false";
23829
// synopsys translate_on
23830
 
23831
// atom is at LC1_J3
23832
flex10ke_lcell \rst_cnt_rtl_3|wysi_counter|counter_cell[0] (
23833
// Equation(s):
23834
// \rst_cnt_rtl_3|wysi_counter|counter_cell[0]~COUT  = CARRY()
23835
 
23836
	.dataa(\rst_cnt_rtl_3|wysi_counter|counter_cell[6]~0 ),
23837
	.datab(vcc),
23838
	.datac(vcc),
23839
	.datad(vcc),
23840
	.aclr(rst_in_n),
23841
	.aload(gnd),
23842
	.clk(clk),
23843
	.cin(gnd),
23844
	.cascin(vcc),
23845
	.devclrn(devclrn),
23846
	.devpor(devpor),
23847
	.combout(),
23848
	.regout(\rst_cnt_rtl_3|wysi_counter|q [0]),
23849
	.cout(\rst_cnt_rtl_3|wysi_counter|counter_cell[0]~COUT ),
23850
	.cascout());
23851
// synopsys translate_off
23852
defparam \rst_cnt_rtl_3|wysi_counter|counter_cell[0] .clock_enable_mode = "false";
23853
defparam \rst_cnt_rtl_3|wysi_counter|counter_cell[0] .lut_mask = "66aa";
23854
defparam \rst_cnt_rtl_3|wysi_counter|counter_cell[0] .operation_mode = "clrb_cntr";
23855
defparam \rst_cnt_rtl_3|wysi_counter|counter_cell[0] .output_mode = "none";
23856
defparam \rst_cnt_rtl_3|wysi_counter|counter_cell[0] .packed_mode = "false";
23857
// synopsys translate_on
23858
 
23859
// atom is at LC2_J3
23860
flex10ke_lcell \rst_cnt_rtl_3|wysi_counter|counter_cell[1] (
23861
// Equation(s):
23862
// \rst_cnt_rtl_3|wysi_counter|counter_cell[1]~COUT  = CARRY( & (\rst_cnt_rtl_3|wysi_counter|counter_cell[0]~COUT ))
23863
 
23864
	.dataa(\rst_cnt_rtl_3|wysi_counter|counter_cell[6]~0 ),
23865
	.datab(vcc),
23866
	.datac(vcc),
23867
	.datad(vcc),
23868
	.aclr(rst_in_n),
23869
	.aload(gnd),
23870
	.clk(clk),
23871
	.cin(\rst_cnt_rtl_3|wysi_counter|counter_cell[0]~COUT ),
23872
	.cascin(vcc),
23873
	.devclrn(devclrn),
23874
	.devpor(devpor),
23875
	.combout(),
23876
	.regout(\rst_cnt_rtl_3|wysi_counter|q [1]),
23877
	.cout(\rst_cnt_rtl_3|wysi_counter|counter_cell[1]~COUT ),
23878
	.cascout());
23879
// synopsys translate_off
23880
defparam \rst_cnt_rtl_3|wysi_counter|counter_cell[1] .cin_used = "true";
23881
defparam \rst_cnt_rtl_3|wysi_counter|counter_cell[1] .clock_enable_mode = "false";
23882
defparam \rst_cnt_rtl_3|wysi_counter|counter_cell[1] .lut_mask = "6ca0";
23883
defparam \rst_cnt_rtl_3|wysi_counter|counter_cell[1] .operation_mode = "clrb_cntr";
23884
defparam \rst_cnt_rtl_3|wysi_counter|counter_cell[1] .output_mode = "none";
23885
defparam \rst_cnt_rtl_3|wysi_counter|counter_cell[1] .packed_mode = "false";
23886
// synopsys translate_on
23887
 
23888
// atom is at LC3_J3
23889
flex10ke_lcell \rst_cnt_rtl_3|wysi_counter|counter_cell[2] (
23890
// Equation(s):
23891
// \rst_cnt_rtl_3|wysi_counter|counter_cell[2]~COUT  = CARRY( & (\rst_cnt_rtl_3|wysi_counter|counter_cell[1]~COUT ))
23892
 
23893
	.dataa(\rst_cnt_rtl_3|wysi_counter|counter_cell[6]~0 ),
23894
	.datab(vcc),
23895
	.datac(vcc),
23896
	.datad(vcc),
23897
	.aclr(rst_in_n),
23898
	.aload(gnd),
23899
	.clk(clk),
23900
	.cin(\rst_cnt_rtl_3|wysi_counter|counter_cell[1]~COUT ),
23901
	.cascin(vcc),
23902
	.devclrn(devclrn),
23903
	.devpor(devpor),
23904
	.combout(),
23905
	.regout(\rst_cnt_rtl_3|wysi_counter|q [2]),
23906
	.cout(\rst_cnt_rtl_3|wysi_counter|counter_cell[2]~COUT ),
23907
	.cascout());
23908
// synopsys translate_off
23909
defparam \rst_cnt_rtl_3|wysi_counter|counter_cell[2] .cin_used = "true";
23910
defparam \rst_cnt_rtl_3|wysi_counter|counter_cell[2] .clock_enable_mode = "false";
23911
defparam \rst_cnt_rtl_3|wysi_counter|counter_cell[2] .lut_mask = "6ca0";
23912
defparam \rst_cnt_rtl_3|wysi_counter|counter_cell[2] .operation_mode = "clrb_cntr";
23913
defparam \rst_cnt_rtl_3|wysi_counter|counter_cell[2] .output_mode = "none";
23914
defparam \rst_cnt_rtl_3|wysi_counter|counter_cell[2] .packed_mode = "false";
23915
// synopsys translate_on
23916
 
23917
// atom is at LC4_J3
23918
flex10ke_lcell \rst_cnt_rtl_3|wysi_counter|counter_cell[3] (
23919
// Equation(s):
23920
// \rst_cnt_rtl_3|wysi_counter|counter_cell[3]~COUT  = CARRY( & (\rst_cnt_rtl_3|wysi_counter|counter_cell[2]~COUT ))
23921
 
23922
	.dataa(\rst_cnt_rtl_3|wysi_counter|counter_cell[6]~0 ),
23923
	.datab(vcc),
23924
	.datac(vcc),
23925
	.datad(vcc),
23926
	.aclr(rst_in_n),
23927
	.aload(gnd),
23928
	.clk(clk),
23929
	.cin(\rst_cnt_rtl_3|wysi_counter|counter_cell[2]~COUT ),
23930
	.cascin(vcc),
23931
	.devclrn(devclrn),
23932
	.devpor(devpor),
23933
	.combout(),
23934
	.regout(\rst_cnt_rtl_3|wysi_counter|q [3]),
23935
	.cout(\rst_cnt_rtl_3|wysi_counter|counter_cell[3]~COUT ),
23936
	.cascout());
23937
// synopsys translate_off
23938
defparam \rst_cnt_rtl_3|wysi_counter|counter_cell[3] .cin_used = "true";
23939
defparam \rst_cnt_rtl_3|wysi_counter|counter_cell[3] .clock_enable_mode = "false";
23940
defparam \rst_cnt_rtl_3|wysi_counter|counter_cell[3] .lut_mask = "6ca0";
23941
defparam \rst_cnt_rtl_3|wysi_counter|counter_cell[3] .operation_mode = "clrb_cntr";
23942
defparam \rst_cnt_rtl_3|wysi_counter|counter_cell[3] .output_mode = "none";
23943
defparam \rst_cnt_rtl_3|wysi_counter|counter_cell[3] .packed_mode = "false";
23944
// synopsys translate_on
23945
 
23946
// atom is at LC5_J3
23947
flex10ke_lcell \rst_cnt_rtl_3|wysi_counter|counter_cell[4] (
23948
// Equation(s):
23949
// \rst_cnt_rtl_3|wysi_counter|counter_cell[4]~COUT  = CARRY( & (\rst_cnt_rtl_3|wysi_counter|counter_cell[3]~COUT ))
23950
 
23951
	.dataa(\rst_cnt_rtl_3|wysi_counter|counter_cell[6]~0 ),
23952
	.datab(vcc),
23953
	.datac(vcc),
23954
	.datad(vcc),
23955
	.aclr(rst_in_n),
23956
	.aload(gnd),
23957
	.clk(clk),
23958
	.cin(\rst_cnt_rtl_3|wysi_counter|counter_cell[3]~COUT ),
23959
	.cascin(vcc),
23960
	.devclrn(devclrn),
23961
	.devpor(devpor),
23962
	.combout(),
23963
	.regout(\rst_cnt_rtl_3|wysi_counter|q [4]),
23964
	.cout(\rst_cnt_rtl_3|wysi_counter|counter_cell[4]~COUT ),
23965
	.cascout());
23966
// synopsys translate_off
23967
defparam \rst_cnt_rtl_3|wysi_counter|counter_cell[4] .cin_used = "true";
23968
defparam \rst_cnt_rtl_3|wysi_counter|counter_cell[4] .clock_enable_mode = "false";
23969
defparam \rst_cnt_rtl_3|wysi_counter|counter_cell[4] .lut_mask = "6ca0";
23970
defparam \rst_cnt_rtl_3|wysi_counter|counter_cell[4] .operation_mode = "clrb_cntr";
23971
defparam \rst_cnt_rtl_3|wysi_counter|counter_cell[4] .output_mode = "none";
23972
defparam \rst_cnt_rtl_3|wysi_counter|counter_cell[4] .packed_mode = "false";
23973
// synopsys translate_on
23974
 
23975
// atom is at LC6_J3
23976
flex10ke_lcell \rst_cnt_rtl_3|wysi_counter|counter_cell[5] (
23977
// Equation(s):
23978
// \rst_cnt_rtl_3|wysi_counter|counter_cell[5]~COUT  = CARRY( & (\rst_cnt_rtl_3|wysi_counter|counter_cell[4]~COUT ))
23979
 
23980
	.dataa(\rst_cnt_rtl_3|wysi_counter|counter_cell[6]~0 ),
23981
	.datab(vcc),
23982
	.datac(vcc),
23983
	.datad(vcc),
23984
	.aclr(rst_in_n),
23985
	.aload(gnd),
23986
	.clk(clk),
23987
	.cin(\rst_cnt_rtl_3|wysi_counter|counter_cell[4]~COUT ),
23988
	.cascin(vcc),
23989
	.devclrn(devclrn),
23990
	.devpor(devpor),
23991
	.combout(),
23992
	.regout(\rst_cnt_rtl_3|wysi_counter|q [5]),
23993
	.cout(\rst_cnt_rtl_3|wysi_counter|counter_cell[5]~COUT ),
23994
	.cascout());
23995
// synopsys translate_off
23996
defparam \rst_cnt_rtl_3|wysi_counter|counter_cell[5] .cin_used = "true";
23997
defparam \rst_cnt_rtl_3|wysi_counter|counter_cell[5] .clock_enable_mode = "false";
23998
defparam \rst_cnt_rtl_3|wysi_counter|counter_cell[5] .lut_mask = "6ca0";
23999
defparam \rst_cnt_rtl_3|wysi_counter|counter_cell[5] .operation_mode = "clrb_cntr";
24000
defparam \rst_cnt_rtl_3|wysi_counter|counter_cell[5] .output_mode = "none";
24001
defparam \rst_cnt_rtl_3|wysi_counter|counter_cell[5] .packed_mode = "false";
24002
// synopsys translate_on
24003
 
24004
// atom is at LC7_J3
24005
flex10ke_lcell \rst_cnt_rtl_3|wysi_counter|counter_cell[6] (
24006
// Equation(s):
24007
// \rst_cnt_rtl_3|wysi_counter|q [6] = DFFEA((\rst_cnt_rtl_3|wysi_counter|q [6] $ (\rst_cnt_rtl_3|wysi_counter|counter_cell[6]~0  & \rst_cnt_rtl_3|wysi_counter|counter_cell[5]~COUT )) & VCC, GLOBAL(\fclk~dataout ), !genrst, , , , )
24008
 
24009
	.dataa(\rst_cnt_rtl_3|wysi_counter|counter_cell[6]~0 ),
24010
	.datab(vcc),
24011
	.datac(vcc),
24012
	.datad(vcc),
24013
	.aclr(rst_in_n),
24014
	.aload(gnd),
24015
	.clk(clk),
24016
	.cin(\rst_cnt_rtl_3|wysi_counter|counter_cell[5]~COUT ),
24017
	.cascin(vcc),
24018
	.devclrn(devclrn),
24019
	.devpor(devpor),
24020
	.combout(),
24021
	.regout(\rst_cnt_rtl_3|wysi_counter|q [6]),
24022
	.cout(),
24023
	.cascout());
24024
// synopsys translate_off
24025
defparam \rst_cnt_rtl_3|wysi_counter|counter_cell[6] .cin_used = "true";
24026
defparam \rst_cnt_rtl_3|wysi_counter|counter_cell[6] .clock_enable_mode = "false";
24027
defparam \rst_cnt_rtl_3|wysi_counter|counter_cell[6] .lut_mask = "6c6c";
24028
defparam \rst_cnt_rtl_3|wysi_counter|counter_cell[6] .operation_mode = "clrb_cntr";
24029
defparam \rst_cnt_rtl_3|wysi_counter|counter_cell[6] .output_mode = "reg_only";
24030
defparam \rst_cnt_rtl_3|wysi_counter|counter_cell[6] .packed_mode = "false";
24031
// synopsys translate_on
24032
 
24033
endmodule
24034
 
24035
module slavespi (
24036
	shift_out_0,
24037
	waits_1,
24038
	waits_0,
24039
	cfg0_reg_out_1,
24040
	spics_n_sync_1,
24041
	spics_n_sync_2,
24042
	genrst,
24043
	cfg0_reg_out_0,
24044
	wait_write_0,
24045
	gluclock_addr_0,
24046
	comport_addr_0,
24047
	sel_waitreg,
24048
	wait_write_1,
24049
	gluclock_addr_1,
24050
	comport_addr_1,
24051
	cfg0_reg_out_2,
24052
	wait_write_2,
24053
	gluclock_addr_2,
24054
	comport_addr_2,
24055
	mouse_buf_5,
24056
	mus_ystb,
24057
	mus_xstb,
24058
	mus_btnstb,
24059
	mouse_buf_6,
24060
	mouse_buf_7,
24061
	wait_write_3,
24062
	gluclock_addr_3,
24063
	wait_reg_0,
24064
	wait_reg_1,
24065
	wait_reg_2,
24066
	wait_reg_3,
24067
	wait_reg_4,
24068
	wait_reg_5,
24069
	wait_reg_6,
24070
	wait_reg_7,
24071
	wait_write_4,
24072
	gluclock_addr_4,
24073
	mouse_buf_0,
24074
	kj_stb,
24075
	mouse_buf_1,
24076
	mouse_buf_2,
24077
	mouse_buf_3,
24078
	mouse_buf_4,
24079
	wait_write_5,
24080
	gluclock_addr_5,
24081
	wait_write_6,
24082
	gluclock_addr_6,
24083
	kbd_reg_34,
24084
	kbd_stb,
24085
	kbd_reg_39,
24086
	kbd_reg_33,
24087
	kbd_reg_38,
24088
	kbd_reg_37,
24089
	kbd_reg_32,
24090
	kbd_reg_35,
24091
	kbd_reg_36,
24092
	kbd_reg_26,
24093
	kbd_reg_31,
24094
	kbd_reg_25,
24095
	kbd_reg_30,
24096
	kbd_reg_29,
24097
	kbd_reg_24,
24098
	kbd_reg_27,
24099
	kbd_reg_28,
24100
	kbd_reg_18,
24101
	kbd_reg_23,
24102
	kbd_reg_17,
24103
	kbd_reg_22,
24104
	kbd_reg_21,
24105
	kbd_reg_16,
24106
	kbd_reg_19,
24107
	kbd_reg_20,
24108
	kbd_reg_10,
24109
	kbd_reg_15,
24110
	kbd_reg_9,
24111
	kbd_reg_14,
24112
	kbd_reg_13,
24113
	kbd_reg_8,
24114
	kbd_reg_11,
24115
	kbd_reg_12,
24116
	kbd_reg_2,
24117
	kbd_reg_7,
24118
	kbd_reg_1,
24119
	kbd_reg_6,
24120
	kbd_reg_5,
24121
	kbd_reg_0,
24122
	kbd_reg_3,
24123
	kbd_reg_4,
24124
	wait_write_7,
24125
	gluclock_addr_7,
24126
	wait_rnw,
24127
	fclk,
24128
	spics_n,
24129
	spick,
24130
	spido,
24131
	devpor,
24132
	devclrn,
24133
	devoe);
24134
output 	shift_out_0;
24135
input 	waits_1;
24136
input 	waits_0;
24137
output 	cfg0_reg_out_1;
24138
output 	spics_n_sync_1;
24139
output 	spics_n_sync_2;
24140
output 	genrst;
24141
output 	cfg0_reg_out_0;
24142
input 	wait_write_0;
24143
input 	gluclock_addr_0;
24144
input 	comport_addr_0;
24145
output 	sel_waitreg;
24146
input 	wait_write_1;
24147
input 	gluclock_addr_1;
24148
input 	comport_addr_1;
24149
output 	cfg0_reg_out_2;
24150
input 	wait_write_2;
24151
input 	gluclock_addr_2;
24152
input 	comport_addr_2;
24153
output 	mouse_buf_5;
24154
output 	mus_ystb;
24155
output 	mus_xstb;
24156
output 	mus_btnstb;
24157
output 	mouse_buf_6;
24158
output 	mouse_buf_7;
24159
input 	wait_write_3;
24160
input 	gluclock_addr_3;
24161
output 	wait_reg_0;
24162
output 	wait_reg_1;
24163
output 	wait_reg_2;
24164
output 	wait_reg_3;
24165
output 	wait_reg_4;
24166
output 	wait_reg_5;
24167
output 	wait_reg_6;
24168
output 	wait_reg_7;
24169
input 	wait_write_4;
24170
input 	gluclock_addr_4;
24171
output 	mouse_buf_0;
24172
output 	kj_stb;
24173
output 	mouse_buf_1;
24174
output 	mouse_buf_2;
24175
output 	mouse_buf_3;
24176
output 	mouse_buf_4;
24177
input 	wait_write_5;
24178
input 	gluclock_addr_5;
24179
input 	wait_write_6;
24180
input 	gluclock_addr_6;
24181
output 	kbd_reg_34;
24182
output 	kbd_stb;
24183
output 	kbd_reg_39;
24184
output 	kbd_reg_33;
24185
output 	kbd_reg_38;
24186
output 	kbd_reg_37;
24187
output 	kbd_reg_32;
24188
output 	kbd_reg_35;
24189
output 	kbd_reg_36;
24190
output 	kbd_reg_26;
24191
output 	kbd_reg_31;
24192
output 	kbd_reg_25;
24193
output 	kbd_reg_30;
24194
output 	kbd_reg_29;
24195
output 	kbd_reg_24;
24196
output 	kbd_reg_27;
24197
output 	kbd_reg_28;
24198
output 	kbd_reg_18;
24199
output 	kbd_reg_23;
24200
output 	kbd_reg_17;
24201
output 	kbd_reg_22;
24202
output 	kbd_reg_21;
24203
output 	kbd_reg_16;
24204
output 	kbd_reg_19;
24205
output 	kbd_reg_20;
24206
output 	kbd_reg_10;
24207
output 	kbd_reg_15;
24208
output 	kbd_reg_9;
24209
output 	kbd_reg_14;
24210
output 	kbd_reg_13;
24211
output 	kbd_reg_8;
24212
output 	kbd_reg_11;
24213
output 	kbd_reg_12;
24214
output 	kbd_reg_2;
24215
output 	kbd_reg_7;
24216
output 	kbd_reg_1;
24217
output 	kbd_reg_6;
24218
output 	kbd_reg_5;
24219
output 	kbd_reg_0;
24220
output 	kbd_reg_3;
24221
output 	kbd_reg_4;
24222
input 	wait_write_7;
24223
input 	gluclock_addr_7;
24224
input 	wait_rnw;
24225
input 	fclk;
24226
input 	spics_n;
24227
input 	spick;
24228
input 	spido;
24229
input 	devpor;
24230
input 	devclrn;
24231
input 	devoe;
24232
 
24233
wire gnd = 1'b0;
24234
wire vcc = 1'b1;
24235
 
24236
wire \regnum[7]~561 ;
24237
wire \shift_out[7]~4987 ;
24238
wire \shift_out~4963 ;
24239
wire \sel_comadr~29 ;
24240
wire \shift_out~4962 ;
24241
wire \shift_out~4964 ;
24242
wire \shift_out~4967 ;
24243
wire \shift_out~4968 ;
24244
wire \shift_out~4971 ;
24245
wire \shift_out~4972 ;
24246
wire \shift_out~4975 ;
24247
wire \shift_out~4976 ;
24248
wire \shift_out~4979 ;
24249
wire \shift_out~4980 ;
24250
wire \shift_out[7]~4984 ;
24251
wire \shift_out[7]~4985 ;
24252
wire \shift_out[7]~4986 ;
24253
wire \shift_out~4978 ;
24254
wire \shift_out~4974 ;
24255
wire \shift_out~4970 ;
24256
wire \shift_out~4966 ;
24257
wire \shift_out~4961 ;
24258
wire \shift_out~4959 ;
24259
wire \shift_out~4956 ;
24260
wire \shift_out~4955 ;
24261
wire \shift_out~4957 ;
24262
wire \shift_out~4958 ;
24263
wire \shift_out~4953 ;
24264
wire \shift_out~4950 ;
24265
wire \shift_out~4949 ;
24266
wire \shift_out~4951 ;
24267
wire \shift_out~4952 ;
24268
wire \genrst~13 ;
24269
wire \always4~102 ;
24270
wire \always4~103 ;
24271
wire \always4~104 ;
24272
wire \always4~105 ;
24273
wire \sel_musycr~14 ;
24274
wire \always4~106 ;
24275
wire scs_n_01;
24276
wire \always4~10 ;
24277
wire \always4~107 ;
24278
wire [7:0] cfg0_reg_in;
24279
wire [7:0] regnum;
24280
wire [7:0] shift_out;
24281
wire [2:0] spick_sync;
24282
wire [2:0] spics_n_sync;
24283
wire [1:0] spido_sync;
24284
 
24285
 
24286
// atom is at LC6_D36
24287
flex10ke_lcell \regnum[7]~561_I (
24288
// Equation(s):
24289
// \regnum[7]~561  = spics_n_sync_1 & (!spick_sync[2] & spick_sync[1] # !spics_n_sync_2)
24290
 
24291
	.dataa(spics_n_sync_2),
24292
	.datab(spick_sync[2]),
24293
	.datac(spick_sync[1]),
24294
	.datad(spics_n_sync_1),
24295
	.aclr(gnd),
24296
	.aload(gnd),
24297
	.clk(gnd),
24298
	.cin(gnd),
24299
	.cascin(vcc),
24300
	.devclrn(devclrn),
24301
	.devpor(devpor),
24302
	.combout(\regnum[7]~561 ),
24303
	.regout(),
24304
	.cout(),
24305
	.cascout());
24306
// synopsys translate_off
24307
defparam \regnum[7]~561_I .clock_enable_mode = "false";
24308
defparam \regnum[7]~561_I .lut_mask = "7500";
24309
defparam \regnum[7]~561_I .operation_mode = "normal";
24310
defparam \regnum[7]~561_I .output_mode = "comb_only";
24311
defparam \regnum[7]~561_I .packed_mode = "false";
24312
// synopsys translate_on
24313
 
24314
// atom is at LC3_D2
24315
flex10ke_lcell \shift_out[7]~4987_I (
24316
// Equation(s):
24317
// \shift_out[7]~4987  = spick_sync[2] & (spics_n_sync_2 $ spics_n_sync_1) # !spick_sync[2] & (spick_sync[1] # spics_n_sync_2 $ spics_n_sync_1)
24318
 
24319
	.dataa(spics_n_sync_2),
24320
	.datab(spics_n_sync_1),
24321
	.datac(spick_sync[2]),
24322
	.datad(spick_sync[1]),
24323
	.aclr(gnd),
24324
	.aload(gnd),
24325
	.clk(gnd),
24326
	.cin(gnd),
24327
	.cascin(vcc),
24328
	.devclrn(devclrn),
24329
	.devpor(devpor),
24330
	.combout(\shift_out[7]~4987 ),
24331
	.regout(),
24332
	.cout(),
24333
	.cascout());
24334
// synopsys translate_off
24335
defparam \shift_out[7]~4987_I .clock_enable_mode = "false";
24336
defparam \shift_out[7]~4987_I .lut_mask = "6f66";
24337
defparam \shift_out[7]~4987_I .operation_mode = "normal";
24338
defparam \shift_out[7]~4987_I .output_mode = "comb_only";
24339
defparam \shift_out[7]~4987_I .packed_mode = "false";
24340
// synopsys translate_on
24341
 
24342
// atom is at LC4_D2
24343
flex10ke_lcell \shift_out[0]~I (
24344
// Equation(s):
24345
// shift_out_0 = DFFEA(\shift_out~4952  # \shift_out~4953  & (spics_n_sync_2 $ !spics_n_sync_1), GLOBAL(\fclk~dataout ), , , , , )
24346
 
24347
	.dataa(spics_n_sync_2),
24348
	.datab(spics_n_sync_1),
24349
	.datac(\shift_out~4953 ),
24350
	.datad(\shift_out~4952 ),
24351
	.aclr(gnd),
24352
	.aload(gnd),
24353
	.clk(fclk),
24354
	.cin(gnd),
24355
	.cascin(vcc),
24356
	.devclrn(devclrn),
24357
	.devpor(devpor),
24358
	.combout(),
24359
	.regout(shift_out_0),
24360
	.cout(),
24361
	.cascout());
24362
// synopsys translate_off
24363
defparam \shift_out[0]~I .clock_enable_mode = "false";
24364
defparam \shift_out[0]~I .lut_mask = "ff90";
24365
defparam \shift_out[0]~I .operation_mode = "normal";
24366
defparam \shift_out[0]~I .output_mode = "reg_only";
24367
defparam \shift_out[0]~I .packed_mode = "false";
24368
// synopsys translate_on
24369
 
24370
// atom is at LC8_A34
24371
flex10ke_lcell \cfg0_reg_out[1]~I (
24372
// Equation(s):
24373
// cfg0_reg_out_1 = DFFEA(cfg0_reg_in[1], GLOBAL(\fclk~dataout ), , , \always4~102 , , )
24374
 
24375
	.dataa(\always4~102 ),
24376
	.datab(vcc),
24377
	.datac(vcc),
24378
	.datad(cfg0_reg_in[1]),
24379
	.aclr(gnd),
24380
	.aload(gnd),
24381
	.clk(fclk),
24382
	.cin(gnd),
24383
	.cascin(vcc),
24384
	.devclrn(devclrn),
24385
	.devpor(devpor),
24386
	.combout(),
24387
	.regout(cfg0_reg_out_1),
24388
	.cout(),
24389
	.cascout());
24390
// synopsys translate_off
24391
defparam \cfg0_reg_out[1]~I .clock_enable_mode = "true";
24392
defparam \cfg0_reg_out[1]~I .lut_mask = "ff00";
24393
defparam \cfg0_reg_out[1]~I .operation_mode = "normal";
24394
defparam \cfg0_reg_out[1]~I .output_mode = "reg_only";
24395
defparam \cfg0_reg_out[1]~I .packed_mode = "false";
24396
// synopsys translate_on
24397
 
24398
// atom is at LC1_D35
24399
flex10ke_lcell \spics_n_sync[1]~I (
24400
// Equation(s):
24401
// spics_n_sync_1 = DFFEA(spics_n_sync[0], GLOBAL(\fclk~dataout ), , , , , )
24402
 
24403
	.dataa(vcc),
24404
	.datab(vcc),
24405
	.datac(vcc),
24406
	.datad(spics_n_sync[0]),
24407
	.aclr(gnd),
24408
	.aload(gnd),
24409
	.clk(fclk),
24410
	.cin(gnd),
24411
	.cascin(vcc),
24412
	.devclrn(devclrn),
24413
	.devpor(devpor),
24414
	.combout(),
24415
	.regout(spics_n_sync_1),
24416
	.cout(),
24417
	.cascout());
24418
// synopsys translate_off
24419
defparam \spics_n_sync[1]~I .clock_enable_mode = "false";
24420
defparam \spics_n_sync[1]~I .lut_mask = "ff00";
24421
defparam \spics_n_sync[1]~I .operation_mode = "normal";
24422
defparam \spics_n_sync[1]~I .output_mode = "reg_only";
24423
defparam \spics_n_sync[1]~I .packed_mode = "false";
24424
// synopsys translate_on
24425
 
24426
// atom is at LC1_D2
24427
flex10ke_lcell \spics_n_sync[2]~I (
24428
// Equation(s):
24429
// spics_n_sync_2 = DFFEA(spics_n_sync_1, GLOBAL(\fclk~dataout ), , , , , )
24430
 
24431
	.dataa(vcc),
24432
	.datab(vcc),
24433
	.datac(vcc),
24434
	.datad(spics_n_sync_1),
24435
	.aclr(gnd),
24436
	.aload(gnd),
24437
	.clk(fclk),
24438
	.cin(gnd),
24439
	.cascin(vcc),
24440
	.devclrn(devclrn),
24441
	.devpor(devpor),
24442
	.combout(),
24443
	.regout(spics_n_sync_2),
24444
	.cout(),
24445
	.cascout());
24446
// synopsys translate_off
24447
defparam \spics_n_sync[2]~I .clock_enable_mode = "false";
24448
defparam \spics_n_sync[2]~I .lut_mask = "ff00";
24449
defparam \spics_n_sync[2]~I .operation_mode = "normal";
24450
defparam \spics_n_sync[2]~I .output_mode = "reg_only";
24451
defparam \spics_n_sync[2]~I .packed_mode = "false";
24452
// synopsys translate_on
24453
 
24454
// atom is at LC5_D34
24455
flex10ke_lcell \genrst~I (
24456
// Equation(s):
24457
// genrst = !regnum[6] & regnum[5] & \genrst~13 
24458
 
24459
	.dataa(vcc),
24460
	.datab(regnum[6]),
24461
	.datac(regnum[5]),
24462
	.datad(\genrst~13 ),
24463
	.aclr(gnd),
24464
	.aload(gnd),
24465
	.clk(gnd),
24466
	.cin(gnd),
24467
	.cascin(vcc),
24468
	.devclrn(devclrn),
24469
	.devpor(devpor),
24470
	.combout(genrst),
24471
	.regout(),
24472
	.cout(),
24473
	.cascout());
24474
// synopsys translate_off
24475
defparam \genrst~I .clock_enable_mode = "false";
24476
defparam \genrst~I .lut_mask = "3000";
24477
defparam \genrst~I .operation_mode = "normal";
24478
defparam \genrst~I .output_mode = "comb_only";
24479
defparam \genrst~I .packed_mode = "false";
24480
// synopsys translate_on
24481
 
24482
// atom is at LC5_A34
24483
flex10ke_lcell \cfg0_reg_out[0]~I (
24484
// Equation(s):
24485
// cfg0_reg_out_0 = DFFEA(cfg0_reg_in[0], GLOBAL(\fclk~dataout ), , , \always4~102 , , )
24486
 
24487
	.dataa(\always4~102 ),
24488
	.datab(vcc),
24489
	.datac(vcc),
24490
	.datad(cfg0_reg_in[0]),
24491
	.aclr(gnd),
24492
	.aload(gnd),
24493
	.clk(fclk),
24494
	.cin(gnd),
24495
	.cascin(vcc),
24496
	.devclrn(devclrn),
24497
	.devpor(devpor),
24498
	.combout(),
24499
	.regout(cfg0_reg_out_0),
24500
	.cout(),
24501
	.cascout());
24502
// synopsys translate_off
24503
defparam \cfg0_reg_out[0]~I .clock_enable_mode = "true";
24504
defparam \cfg0_reg_out[0]~I .lut_mask = "ff00";
24505
defparam \cfg0_reg_out[0]~I .operation_mode = "normal";
24506
defparam \cfg0_reg_out[0]~I .output_mode = "reg_only";
24507
defparam \cfg0_reg_out[0]~I .packed_mode = "false";
24508
// synopsys translate_on
24509
 
24510
// atom is at LC3_D1
24511
flex10ke_lcell \sel_waitreg~8_I (
24512
// Equation(s):
24513
// sel_waitreg = !regnum[1] & !regnum[0] & \sel_comadr~29 
24514
 
24515
	.dataa(vcc),
24516
	.datab(regnum[1]),
24517
	.datac(regnum[0]),
24518
	.datad(\sel_comadr~29 ),
24519
	.aclr(gnd),
24520
	.aload(gnd),
24521
	.clk(gnd),
24522
	.cin(gnd),
24523
	.cascin(vcc),
24524
	.devclrn(devclrn),
24525
	.devpor(devpor),
24526
	.combout(sel_waitreg),
24527
	.regout(),
24528
	.cout(),
24529
	.cascout());
24530
// synopsys translate_off
24531
defparam \sel_waitreg~8_I .clock_enable_mode = "false";
24532
defparam \sel_waitreg~8_I .lut_mask = "0300";
24533
defparam \sel_waitreg~8_I .operation_mode = "normal";
24534
defparam \sel_waitreg~8_I .output_mode = "comb_only";
24535
defparam \sel_waitreg~8_I .packed_mode = "false";
24536
// synopsys translate_on
24537
 
24538
// atom is at LC1_E25
24539
flex10ke_lcell \cfg0_reg_out[2]~I (
24540
// Equation(s):
24541
// cfg0_reg_out_2 = DFFEA(cfg0_reg_in[2], GLOBAL(\fclk~dataout ), , , \always4~102 , , )
24542
 
24543
	.dataa(\always4~102 ),
24544
	.datab(vcc),
24545
	.datac(vcc),
24546
	.datad(cfg0_reg_in[2]),
24547
	.aclr(gnd),
24548
	.aload(gnd),
24549
	.clk(fclk),
24550
	.cin(gnd),
24551
	.cascin(vcc),
24552
	.devclrn(devclrn),
24553
	.devpor(devpor),
24554
	.combout(),
24555
	.regout(cfg0_reg_out_2),
24556
	.cout(),
24557
	.cascout());
24558
// synopsys translate_off
24559
defparam \cfg0_reg_out[2]~I .clock_enable_mode = "true";
24560
defparam \cfg0_reg_out[2]~I .lut_mask = "ff00";
24561
defparam \cfg0_reg_out[2]~I .operation_mode = "normal";
24562
defparam \cfg0_reg_out[2]~I .output_mode = "reg_only";
24563
defparam \cfg0_reg_out[2]~I .packed_mode = "false";
24564
// synopsys translate_on
24565
 
24566
// atom is at LC7_E30
24567
flex10ke_lcell \mouse_buf[5]~I (
24568
// Equation(s):
24569
// mouse_buf_5 = DFFEA(mouse_buf_6, GLOBAL(\fclk~dataout ), , , \always4~106 , , )
24570
 
24571
	.dataa(\always4~106 ),
24572
	.datab(vcc),
24573
	.datac(vcc),
24574
	.datad(mouse_buf_6),
24575
	.aclr(gnd),
24576
	.aload(gnd),
24577
	.clk(fclk),
24578
	.cin(gnd),
24579
	.cascin(vcc),
24580
	.devclrn(devclrn),
24581
	.devpor(devpor),
24582
	.combout(),
24583
	.regout(mouse_buf_5),
24584
	.cout(),
24585
	.cascout());
24586
// synopsys translate_off
24587
defparam \mouse_buf[5]~I .clock_enable_mode = "true";
24588
defparam \mouse_buf[5]~I .lut_mask = "ff00";
24589
defparam \mouse_buf[5]~I .operation_mode = "normal";
24590
defparam \mouse_buf[5]~I .output_mode = "reg_only";
24591
defparam \mouse_buf[5]~I .packed_mode = "false";
24592
// synopsys translate_on
24593
 
24594
// atom is at LC6_D33
24595
flex10ke_lcell \mus_ystb~I (
24596
// Equation(s):
24597
// mus_ystb = !regnum[1] & \sel_musycr~14  & regnum[0] & scs_n_01
24598
 
24599
	.dataa(regnum[1]),
24600
	.datab(\sel_musycr~14 ),
24601
	.datac(regnum[0]),
24602
	.datad(scs_n_01),
24603
	.aclr(gnd),
24604
	.aload(gnd),
24605
	.clk(gnd),
24606
	.cin(gnd),
24607
	.cascin(vcc),
24608
	.devclrn(devclrn),
24609
	.devpor(devpor),
24610
	.combout(mus_ystb),
24611
	.regout(),
24612
	.cout(),
24613
	.cascout());
24614
// synopsys translate_off
24615
defparam \mus_ystb~I .clock_enable_mode = "false";
24616
defparam \mus_ystb~I .lut_mask = "4000";
24617
defparam \mus_ystb~I .operation_mode = "normal";
24618
defparam \mus_ystb~I .output_mode = "comb_only";
24619
defparam \mus_ystb~I .packed_mode = "false";
24620
// synopsys translate_on
24621
 
24622
// atom is at LC3_D33
24623
flex10ke_lcell \mus_xstb~I (
24624
// Equation(s):
24625
// mus_xstb = !regnum[0] & !regnum[1] & scs_n_01 & \sel_musycr~14 
24626
 
24627
	.dataa(regnum[0]),
24628
	.datab(regnum[1]),
24629
	.datac(scs_n_01),
24630
	.datad(\sel_musycr~14 ),
24631
	.aclr(gnd),
24632
	.aload(gnd),
24633
	.clk(gnd),
24634
	.cin(gnd),
24635
	.cascin(vcc),
24636
	.devclrn(devclrn),
24637
	.devpor(devpor),
24638
	.combout(mus_xstb),
24639
	.regout(),
24640
	.cout(),
24641
	.cascout());
24642
// synopsys translate_off
24643
defparam \mus_xstb~I .clock_enable_mode = "false";
24644
defparam \mus_xstb~I .lut_mask = "1000";
24645
defparam \mus_xstb~I .operation_mode = "normal";
24646
defparam \mus_xstb~I .output_mode = "comb_only";
24647
defparam \mus_xstb~I .packed_mode = "false";
24648
// synopsys translate_on
24649
 
24650
// atom is at LC8_D33
24651
flex10ke_lcell \mus_btnstb~12_I (
24652
// Equation(s):
24653
// mus_btnstb = !regnum[0] & \sel_musycr~14  & regnum[1] & scs_n_01
24654
 
24655
	.dataa(regnum[0]),
24656
	.datab(\sel_musycr~14 ),
24657
	.datac(regnum[1]),
24658
	.datad(scs_n_01),
24659
	.aclr(gnd),
24660
	.aload(gnd),
24661
	.clk(gnd),
24662
	.cin(gnd),
24663
	.cascin(vcc),
24664
	.devclrn(devclrn),
24665
	.devpor(devpor),
24666
	.combout(mus_btnstb),
24667
	.regout(),
24668
	.cout(),
24669
	.cascout());
24670
// synopsys translate_off
24671
defparam \mus_btnstb~12_I .clock_enable_mode = "false";
24672
defparam \mus_btnstb~12_I .lut_mask = "4000";
24673
defparam \mus_btnstb~12_I .operation_mode = "normal";
24674
defparam \mus_btnstb~12_I .output_mode = "comb_only";
24675
defparam \mus_btnstb~12_I .packed_mode = "false";
24676
// synopsys translate_on
24677
 
24678
// atom is at LC5_E30
24679
flex10ke_lcell \mouse_buf[6]~I (
24680
// Equation(s):
24681
// mouse_buf_6 = DFFEA(mouse_buf_7, GLOBAL(\fclk~dataout ), , , \always4~106 , , )
24682
 
24683
	.dataa(\always4~106 ),
24684
	.datab(vcc),
24685
	.datac(vcc),
24686
	.datad(mouse_buf_7),
24687
	.aclr(gnd),
24688
	.aload(gnd),
24689
	.clk(fclk),
24690
	.cin(gnd),
24691
	.cascin(vcc),
24692
	.devclrn(devclrn),
24693
	.devpor(devpor),
24694
	.combout(),
24695
	.regout(mouse_buf_6),
24696
	.cout(),
24697
	.cascout());
24698
// synopsys translate_off
24699
defparam \mouse_buf[6]~I .clock_enable_mode = "true";
24700
defparam \mouse_buf[6]~I .lut_mask = "ff00";
24701
defparam \mouse_buf[6]~I .operation_mode = "normal";
24702
defparam \mouse_buf[6]~I .output_mode = "reg_only";
24703
defparam \mouse_buf[6]~I .packed_mode = "false";
24704
// synopsys translate_on
24705
 
24706
// atom is at LC3_E30
24707
flex10ke_lcell \mouse_buf[7]~I (
24708
// Equation(s):
24709
// mouse_buf_7 = DFFEA(spido_sync[1], GLOBAL(\fclk~dataout ), , , \always4~106 , , )
24710
 
24711
	.dataa(\always4~106 ),
24712
	.datab(vcc),
24713
	.datac(vcc),
24714
	.datad(spido_sync[1]),
24715
	.aclr(gnd),
24716
	.aload(gnd),
24717
	.clk(fclk),
24718
	.cin(gnd),
24719
	.cascin(vcc),
24720
	.devclrn(devclrn),
24721
	.devpor(devpor),
24722
	.combout(),
24723
	.regout(mouse_buf_7),
24724
	.cout(),
24725
	.cascout());
24726
// synopsys translate_off
24727
defparam \mouse_buf[7]~I .clock_enable_mode = "true";
24728
defparam \mouse_buf[7]~I .lut_mask = "ff00";
24729
defparam \mouse_buf[7]~I .operation_mode = "normal";
24730
defparam \mouse_buf[7]~I .output_mode = "reg_only";
24731
defparam \mouse_buf[7]~I .packed_mode = "false";
24732
// synopsys translate_on
24733
 
24734
// atom is at LC8_H12
24735
flex10ke_lcell \wait_reg[0]~I (
24736
// Equation(s):
24737
// wait_reg_0 = DFFEA(wait_reg_1, GLOBAL(\fclk~dataout ), , , \always4~10 , , )
24738
 
24739
	.dataa(\always4~10 ),
24740
	.datab(vcc),
24741
	.datac(vcc),
24742
	.datad(wait_reg_1),
24743
	.aclr(gnd),
24744
	.aload(gnd),
24745
	.clk(fclk),
24746
	.cin(gnd),
24747
	.cascin(vcc),
24748
	.devclrn(devclrn),
24749
	.devpor(devpor),
24750
	.combout(),
24751
	.regout(wait_reg_0),
24752
	.cout(),
24753
	.cascout());
24754
// synopsys translate_off
24755
defparam \wait_reg[0]~I .clock_enable_mode = "true";
24756
defparam \wait_reg[0]~I .lut_mask = "ff00";
24757
defparam \wait_reg[0]~I .operation_mode = "normal";
24758
defparam \wait_reg[0]~I .output_mode = "reg_only";
24759
defparam \wait_reg[0]~I .packed_mode = "false";
24760
// synopsys translate_on
24761
 
24762
// atom is at LC5_H12
24763
flex10ke_lcell \wait_reg[1]~I (
24764
// Equation(s):
24765
// wait_reg_1 = DFFEA(wait_reg_2, GLOBAL(\fclk~dataout ), , , \always4~10 , , )
24766
 
24767
	.dataa(\always4~10 ),
24768
	.datab(vcc),
24769
	.datac(vcc),
24770
	.datad(wait_reg_2),
24771
	.aclr(gnd),
24772
	.aload(gnd),
24773
	.clk(fclk),
24774
	.cin(gnd),
24775
	.cascin(vcc),
24776
	.devclrn(devclrn),
24777
	.devpor(devpor),
24778
	.combout(),
24779
	.regout(wait_reg_1),
24780
	.cout(),
24781
	.cascout());
24782
// synopsys translate_off
24783
defparam \wait_reg[1]~I .clock_enable_mode = "true";
24784
defparam \wait_reg[1]~I .lut_mask = "ff00";
24785
defparam \wait_reg[1]~I .operation_mode = "normal";
24786
defparam \wait_reg[1]~I .output_mode = "reg_only";
24787
defparam \wait_reg[1]~I .packed_mode = "false";
24788
// synopsys translate_on
24789
 
24790
// atom is at LC6_H12
24791
flex10ke_lcell \wait_reg[2]~I (
24792
// Equation(s):
24793
// wait_reg_2 = DFFEA(wait_reg_3, GLOBAL(\fclk~dataout ), , , \always4~10 , , )
24794
 
24795
	.dataa(\always4~10 ),
24796
	.datab(vcc),
24797
	.datac(vcc),
24798
	.datad(wait_reg_3),
24799
	.aclr(gnd),
24800
	.aload(gnd),
24801
	.clk(fclk),
24802
	.cin(gnd),
24803
	.cascin(vcc),
24804
	.devclrn(devclrn),
24805
	.devpor(devpor),
24806
	.combout(),
24807
	.regout(wait_reg_2),
24808
	.cout(),
24809
	.cascout());
24810
// synopsys translate_off
24811
defparam \wait_reg[2]~I .clock_enable_mode = "true";
24812
defparam \wait_reg[2]~I .lut_mask = "ff00";
24813
defparam \wait_reg[2]~I .operation_mode = "normal";
24814
defparam \wait_reg[2]~I .output_mode = "reg_only";
24815
defparam \wait_reg[2]~I .packed_mode = "false";
24816
// synopsys translate_on
24817
 
24818
// atom is at LC2_H12
24819
flex10ke_lcell \wait_reg[3]~I (
24820
// Equation(s):
24821
// wait_reg_3 = DFFEA(wait_reg_4, GLOBAL(\fclk~dataout ), , , \always4~10 , , )
24822
 
24823
	.dataa(\always4~10 ),
24824
	.datab(vcc),
24825
	.datac(vcc),
24826
	.datad(wait_reg_4),
24827
	.aclr(gnd),
24828
	.aload(gnd),
24829
	.clk(fclk),
24830
	.cin(gnd),
24831
	.cascin(vcc),
24832
	.devclrn(devclrn),
24833
	.devpor(devpor),
24834
	.combout(),
24835
	.regout(wait_reg_3),
24836
	.cout(),
24837
	.cascout());
24838
// synopsys translate_off
24839
defparam \wait_reg[3]~I .clock_enable_mode = "true";
24840
defparam \wait_reg[3]~I .lut_mask = "ff00";
24841
defparam \wait_reg[3]~I .operation_mode = "normal";
24842
defparam \wait_reg[3]~I .output_mode = "reg_only";
24843
defparam \wait_reg[3]~I .packed_mode = "false";
24844
// synopsys translate_on
24845
 
24846
// atom is at LC1_H12
24847
flex10ke_lcell \wait_reg[4]~I (
24848
// Equation(s):
24849
// wait_reg_4 = DFFEA(wait_reg_5, GLOBAL(\fclk~dataout ), , , \always4~10 , , )
24850
 
24851
	.dataa(\always4~10 ),
24852
	.datab(vcc),
24853
	.datac(vcc),
24854
	.datad(wait_reg_5),
24855
	.aclr(gnd),
24856
	.aload(gnd),
24857
	.clk(fclk),
24858
	.cin(gnd),
24859
	.cascin(vcc),
24860
	.devclrn(devclrn),
24861
	.devpor(devpor),
24862
	.combout(),
24863
	.regout(wait_reg_4),
24864
	.cout(),
24865
	.cascout());
24866
// synopsys translate_off
24867
defparam \wait_reg[4]~I .clock_enable_mode = "true";
24868
defparam \wait_reg[4]~I .lut_mask = "ff00";
24869
defparam \wait_reg[4]~I .operation_mode = "normal";
24870
defparam \wait_reg[4]~I .output_mode = "reg_only";
24871
defparam \wait_reg[4]~I .packed_mode = "false";
24872
// synopsys translate_on
24873
 
24874
// atom is at LC7_H12
24875
flex10ke_lcell \wait_reg[5]~I (
24876
// Equation(s):
24877
// wait_reg_5 = DFFEA(wait_reg_6, GLOBAL(\fclk~dataout ), , , \always4~10 , , )
24878
 
24879
	.dataa(\always4~10 ),
24880
	.datab(vcc),
24881
	.datac(vcc),
24882
	.datad(wait_reg_6),
24883
	.aclr(gnd),
24884
	.aload(gnd),
24885
	.clk(fclk),
24886
	.cin(gnd),
24887
	.cascin(vcc),
24888
	.devclrn(devclrn),
24889
	.devpor(devpor),
24890
	.combout(),
24891
	.regout(wait_reg_5),
24892
	.cout(),
24893
	.cascout());
24894
// synopsys translate_off
24895
defparam \wait_reg[5]~I .clock_enable_mode = "true";
24896
defparam \wait_reg[5]~I .lut_mask = "ff00";
24897
defparam \wait_reg[5]~I .operation_mode = "normal";
24898
defparam \wait_reg[5]~I .output_mode = "reg_only";
24899
defparam \wait_reg[5]~I .packed_mode = "false";
24900
// synopsys translate_on
24901
 
24902
// atom is at LC6_H36
24903
flex10ke_lcell \wait_reg[6]~I (
24904
// Equation(s):
24905
// wait_reg_6 = DFFEA(wait_reg_7, GLOBAL(\fclk~dataout ), , , \always4~10 , , )
24906
 
24907
	.dataa(\always4~10 ),
24908
	.datab(vcc),
24909
	.datac(vcc),
24910
	.datad(wait_reg_7),
24911
	.aclr(gnd),
24912
	.aload(gnd),
24913
	.clk(fclk),
24914
	.cin(gnd),
24915
	.cascin(vcc),
24916
	.devclrn(devclrn),
24917
	.devpor(devpor),
24918
	.combout(),
24919
	.regout(wait_reg_6),
24920
	.cout(),
24921
	.cascout());
24922
// synopsys translate_off
24923
defparam \wait_reg[6]~I .clock_enable_mode = "true";
24924
defparam \wait_reg[6]~I .lut_mask = "ff00";
24925
defparam \wait_reg[6]~I .operation_mode = "normal";
24926
defparam \wait_reg[6]~I .output_mode = "reg_only";
24927
defparam \wait_reg[6]~I .packed_mode = "false";
24928
// synopsys translate_on
24929
 
24930
// atom is at LC1_H26
24931
flex10ke_lcell \wait_reg[7]~I (
24932
// Equation(s):
24933
// wait_reg_7 = DFFEA(spido_sync[1], GLOBAL(\fclk~dataout ), , , \always4~10 , , )
24934
 
24935
	.dataa(\always4~10 ),
24936
	.datab(vcc),
24937
	.datac(vcc),
24938
	.datad(spido_sync[1]),
24939
	.aclr(gnd),
24940
	.aload(gnd),
24941
	.clk(fclk),
24942
	.cin(gnd),
24943
	.cascin(vcc),
24944
	.devclrn(devclrn),
24945
	.devpor(devpor),
24946
	.combout(),
24947
	.regout(wait_reg_7),
24948
	.cout(),
24949
	.cascout());
24950
// synopsys translate_off
24951
defparam \wait_reg[7]~I .clock_enable_mode = "true";
24952
defparam \wait_reg[7]~I .lut_mask = "ff00";
24953
defparam \wait_reg[7]~I .operation_mode = "normal";
24954
defparam \wait_reg[7]~I .output_mode = "reg_only";
24955
defparam \wait_reg[7]~I .packed_mode = "false";
24956
// synopsys translate_on
24957
 
24958
// atom is at LC6_E30
24959
flex10ke_lcell \mouse_buf[0]~I (
24960
// Equation(s):
24961
// mouse_buf_0 = DFFEA(mouse_buf_1, GLOBAL(\fclk~dataout ), , , \always4~106 , , )
24962
 
24963
	.dataa(\always4~106 ),
24964
	.datab(vcc),
24965
	.datac(vcc),
24966
	.datad(mouse_buf_1),
24967
	.aclr(gnd),
24968
	.aload(gnd),
24969
	.clk(fclk),
24970
	.cin(gnd),
24971
	.cascin(vcc),
24972
	.devclrn(devclrn),
24973
	.devpor(devpor),
24974
	.combout(),
24975
	.regout(mouse_buf_0),
24976
	.cout(),
24977
	.cascout());
24978
// synopsys translate_off
24979
defparam \mouse_buf[0]~I .clock_enable_mode = "true";
24980
defparam \mouse_buf[0]~I .lut_mask = "ff00";
24981
defparam \mouse_buf[0]~I .operation_mode = "normal";
24982
defparam \mouse_buf[0]~I .output_mode = "reg_only";
24983
defparam \mouse_buf[0]~I .packed_mode = "false";
24984
// synopsys translate_on
24985
 
24986
// atom is at LC4_D33
24987
flex10ke_lcell \kj_stb~12_I (
24988
// Equation(s):
24989
// kj_stb = \sel_musycr~14  & regnum[1] & regnum[0] & scs_n_01
24990
 
24991
	.dataa(\sel_musycr~14 ),
24992
	.datab(regnum[1]),
24993
	.datac(regnum[0]),
24994
	.datad(scs_n_01),
24995
	.aclr(gnd),
24996
	.aload(gnd),
24997
	.clk(gnd),
24998
	.cin(gnd),
24999
	.cascin(vcc),
25000
	.devclrn(devclrn),
25001
	.devpor(devpor),
25002
	.combout(kj_stb),
25003
	.regout(),
25004
	.cout(),
25005
	.cascout());
25006
// synopsys translate_off
25007
defparam \kj_stb~12_I .clock_enable_mode = "false";
25008
defparam \kj_stb~12_I .lut_mask = "8000";
25009
defparam \kj_stb~12_I .operation_mode = "normal";
25010
defparam \kj_stb~12_I .output_mode = "comb_only";
25011
defparam \kj_stb~12_I .packed_mode = "false";
25012
// synopsys translate_on
25013
 
25014
// atom is at LC8_E30
25015
flex10ke_lcell \mouse_buf[1]~I (
25016
// Equation(s):
25017
// mouse_buf_1 = DFFEA(mouse_buf_2, GLOBAL(\fclk~dataout ), , , \always4~106 , , )
25018
 
25019
	.dataa(\always4~106 ),
25020
	.datab(vcc),
25021
	.datac(vcc),
25022
	.datad(mouse_buf_2),
25023
	.aclr(gnd),
25024
	.aload(gnd),
25025
	.clk(fclk),
25026
	.cin(gnd),
25027
	.cascin(vcc),
25028
	.devclrn(devclrn),
25029
	.devpor(devpor),
25030
	.combout(),
25031
	.regout(mouse_buf_1),
25032
	.cout(),
25033
	.cascout());
25034
// synopsys translate_off
25035
defparam \mouse_buf[1]~I .clock_enable_mode = "true";
25036
defparam \mouse_buf[1]~I .lut_mask = "ff00";
25037
defparam \mouse_buf[1]~I .operation_mode = "normal";
25038
defparam \mouse_buf[1]~I .output_mode = "reg_only";
25039
defparam \mouse_buf[1]~I .packed_mode = "false";
25040
// synopsys translate_on
25041
 
25042
// atom is at LC2_E30
25043
flex10ke_lcell \mouse_buf[2]~I (
25044
// Equation(s):
25045
// mouse_buf_2 = DFFEA(mouse_buf_3, GLOBAL(\fclk~dataout ), , , \always4~106 , , )
25046
 
25047
	.dataa(\always4~106 ),
25048
	.datab(vcc),
25049
	.datac(vcc),
25050
	.datad(mouse_buf_3),
25051
	.aclr(gnd),
25052
	.aload(gnd),
25053
	.clk(fclk),
25054
	.cin(gnd),
25055
	.cascin(vcc),
25056
	.devclrn(devclrn),
25057
	.devpor(devpor),
25058
	.combout(),
25059
	.regout(mouse_buf_2),
25060
	.cout(),
25061
	.cascout());
25062
// synopsys translate_off
25063
defparam \mouse_buf[2]~I .clock_enable_mode = "true";
25064
defparam \mouse_buf[2]~I .lut_mask = "ff00";
25065
defparam \mouse_buf[2]~I .operation_mode = "normal";
25066
defparam \mouse_buf[2]~I .output_mode = "reg_only";
25067
defparam \mouse_buf[2]~I .packed_mode = "false";
25068
// synopsys translate_on
25069
 
25070
// atom is at LC4_E30
25071
flex10ke_lcell \mouse_buf[3]~I (
25072
// Equation(s):
25073
// mouse_buf_3 = DFFEA(mouse_buf_4, GLOBAL(\fclk~dataout ), , , \always4~106 , , )
25074
 
25075
	.dataa(\always4~106 ),
25076
	.datab(vcc),
25077
	.datac(vcc),
25078
	.datad(mouse_buf_4),
25079
	.aclr(gnd),
25080
	.aload(gnd),
25081
	.clk(fclk),
25082
	.cin(gnd),
25083
	.cascin(vcc),
25084
	.devclrn(devclrn),
25085
	.devpor(devpor),
25086
	.combout(),
25087
	.regout(mouse_buf_3),
25088
	.cout(),
25089
	.cascout());
25090
// synopsys translate_off
25091
defparam \mouse_buf[3]~I .clock_enable_mode = "true";
25092
defparam \mouse_buf[3]~I .lut_mask = "ff00";
25093
defparam \mouse_buf[3]~I .operation_mode = "normal";
25094
defparam \mouse_buf[3]~I .output_mode = "reg_only";
25095
defparam \mouse_buf[3]~I .packed_mode = "false";
25096
// synopsys translate_on
25097
 
25098
// atom is at LC1_E30
25099
flex10ke_lcell \mouse_buf[4]~I (
25100
// Equation(s):
25101
// mouse_buf_4 = DFFEA(mouse_buf_5, GLOBAL(\fclk~dataout ), , , \always4~106 , , )
25102
 
25103
	.dataa(\always4~106 ),
25104
	.datab(vcc),
25105
	.datac(vcc),
25106
	.datad(mouse_buf_5),
25107
	.aclr(gnd),
25108
	.aload(gnd),
25109
	.clk(fclk),
25110
	.cin(gnd),
25111
	.cascin(vcc),
25112
	.devclrn(devclrn),
25113
	.devpor(devpor),
25114
	.combout(),
25115
	.regout(mouse_buf_4),
25116
	.cout(),
25117
	.cascout());
25118
// synopsys translate_off
25119
defparam \mouse_buf[4]~I .clock_enable_mode = "true";
25120
defparam \mouse_buf[4]~I .lut_mask = "ff00";
25121
defparam \mouse_buf[4]~I .operation_mode = "normal";
25122
defparam \mouse_buf[4]~I .output_mode = "reg_only";
25123
defparam \mouse_buf[4]~I .packed_mode = "false";
25124
// synopsys translate_on
25125
 
25126
// atom is at LC3_I25
25127
flex10ke_lcell \kbd_reg[34]~I (
25128
// Equation(s):
25129
// kbd_reg_34 = DFFEA(kbd_reg_35, GLOBAL(\fclk~dataout ), , , \always4~107 , , )
25130
 
25131
	.dataa(\always4~107 ),
25132
	.datab(vcc),
25133
	.datac(vcc),
25134
	.datad(kbd_reg_35),
25135
	.aclr(gnd),
25136
	.aload(gnd),
25137
	.clk(fclk),
25138
	.cin(gnd),
25139
	.cascin(vcc),
25140
	.devclrn(devclrn),
25141
	.devpor(devpor),
25142
	.combout(),
25143
	.regout(kbd_reg_34),
25144
	.cout(),
25145
	.cascout());
25146
// synopsys translate_off
25147
defparam \kbd_reg[34]~I .clock_enable_mode = "true";
25148
defparam \kbd_reg[34]~I .lut_mask = "ff00";
25149
defparam \kbd_reg[34]~I .operation_mode = "normal";
25150
defparam \kbd_reg[34]~I .output_mode = "reg_only";
25151
defparam \kbd_reg[34]~I .packed_mode = "false";
25152
// synopsys translate_on
25153
 
25154
// atom is at LC3_D34
25155
flex10ke_lcell \kbd_stb~30_I (
25156
// Equation(s):
25157
// kbd_stb = !regnum[5] & !regnum[6] & regnum[0] & \genrst~13 
25158
 
25159
	.dataa(regnum[5]),
25160
	.datab(regnum[6]),
25161
	.datac(regnum[0]),
25162
	.datad(\genrst~13 ),
25163
	.aclr(gnd),
25164
	.aload(gnd),
25165
	.clk(gnd),
25166
	.cin(gnd),
25167
	.cascin(vcc),
25168
	.devclrn(devclrn),
25169
	.devpor(devpor),
25170
	.combout(kbd_stb),
25171
	.regout(),
25172
	.cout(),
25173
	.cascout());
25174
// synopsys translate_off
25175
defparam \kbd_stb~30_I .clock_enable_mode = "false";
25176
defparam \kbd_stb~30_I .lut_mask = "1000";
25177
defparam \kbd_stb~30_I .operation_mode = "normal";
25178
defparam \kbd_stb~30_I .output_mode = "comb_only";
25179
defparam \kbd_stb~30_I .packed_mode = "false";
25180
// synopsys translate_on
25181
 
25182
// atom is at LC7_I30
25183
flex10ke_lcell \kbd_reg[39]~I (
25184
// Equation(s):
25185
// kbd_reg_39 = DFFEA(spido_sync[1], GLOBAL(\fclk~dataout ), , , \always4~107 , , )
25186
 
25187
	.dataa(\always4~107 ),
25188
	.datab(vcc),
25189
	.datac(vcc),
25190
	.datad(spido_sync[1]),
25191
	.aclr(gnd),
25192
	.aload(gnd),
25193
	.clk(fclk),
25194
	.cin(gnd),
25195
	.cascin(vcc),
25196
	.devclrn(devclrn),
25197
	.devpor(devpor),
25198
	.combout(),
25199
	.regout(kbd_reg_39),
25200
	.cout(),
25201
	.cascout());
25202
// synopsys translate_off
25203
defparam \kbd_reg[39]~I .clock_enable_mode = "true";
25204
defparam \kbd_reg[39]~I .lut_mask = "ff00";
25205
defparam \kbd_reg[39]~I .operation_mode = "normal";
25206
defparam \kbd_reg[39]~I .output_mode = "reg_only";
25207
defparam \kbd_reg[39]~I .packed_mode = "false";
25208
// synopsys translate_on
25209
 
25210
// atom is at LC8_I25
25211
flex10ke_lcell \kbd_reg[33]~I (
25212
// Equation(s):
25213
// kbd_reg_33 = DFFEA(kbd_reg_34, GLOBAL(\fclk~dataout ), , , \always4~107 , , )
25214
 
25215
	.dataa(\always4~107 ),
25216
	.datab(vcc),
25217
	.datac(vcc),
25218
	.datad(kbd_reg_34),
25219
	.aclr(gnd),
25220
	.aload(gnd),
25221
	.clk(fclk),
25222
	.cin(gnd),
25223
	.cascin(vcc),
25224
	.devclrn(devclrn),
25225
	.devpor(devpor),
25226
	.combout(),
25227
	.regout(kbd_reg_33),
25228
	.cout(),
25229
	.cascout());
25230
// synopsys translate_off
25231
defparam \kbd_reg[33]~I .clock_enable_mode = "true";
25232
defparam \kbd_reg[33]~I .lut_mask = "ff00";
25233
defparam \kbd_reg[33]~I .operation_mode = "normal";
25234
defparam \kbd_reg[33]~I .output_mode = "reg_only";
25235
defparam \kbd_reg[33]~I .packed_mode = "false";
25236
// synopsys translate_on
25237
 
25238
// atom is at LC8_I30
25239
flex10ke_lcell \kbd_reg[38]~I (
25240
// Equation(s):
25241
// kbd_reg_38 = DFFEA(kbd_reg_39, GLOBAL(\fclk~dataout ), , , \always4~107 , , )
25242
 
25243
	.dataa(\always4~107 ),
25244
	.datab(vcc),
25245
	.datac(vcc),
25246
	.datad(kbd_reg_39),
25247
	.aclr(gnd),
25248
	.aload(gnd),
25249
	.clk(fclk),
25250
	.cin(gnd),
25251
	.cascin(vcc),
25252
	.devclrn(devclrn),
25253
	.devpor(devpor),
25254
	.combout(),
25255
	.regout(kbd_reg_38),
25256
	.cout(),
25257
	.cascout());
25258
// synopsys translate_off
25259
defparam \kbd_reg[38]~I .clock_enable_mode = "true";
25260
defparam \kbd_reg[38]~I .lut_mask = "ff00";
25261
defparam \kbd_reg[38]~I .operation_mode = "normal";
25262
defparam \kbd_reg[38]~I .output_mode = "reg_only";
25263
defparam \kbd_reg[38]~I .packed_mode = "false";
25264
// synopsys translate_on
25265
 
25266
// atom is at LC1_I30
25267
flex10ke_lcell \kbd_reg[37]~I (
25268
// Equation(s):
25269
// kbd_reg_37 = DFFEA(kbd_reg_38, GLOBAL(\fclk~dataout ), , , \always4~107 , , )
25270
 
25271
	.dataa(\always4~107 ),
25272
	.datab(vcc),
25273
	.datac(vcc),
25274
	.datad(kbd_reg_38),
25275
	.aclr(gnd),
25276
	.aload(gnd),
25277
	.clk(fclk),
25278
	.cin(gnd),
25279
	.cascin(vcc),
25280
	.devclrn(devclrn),
25281
	.devpor(devpor),
25282
	.combout(),
25283
	.regout(kbd_reg_37),
25284
	.cout(),
25285
	.cascout());
25286
// synopsys translate_off
25287
defparam \kbd_reg[37]~I .clock_enable_mode = "true";
25288
defparam \kbd_reg[37]~I .lut_mask = "ff00";
25289
defparam \kbd_reg[37]~I .operation_mode = "normal";
25290
defparam \kbd_reg[37]~I .output_mode = "reg_only";
25291
defparam \kbd_reg[37]~I .packed_mode = "false";
25292
// synopsys translate_on
25293
 
25294
// atom is at LC2_I25
25295
flex10ke_lcell \kbd_reg[32]~I (
25296
// Equation(s):
25297
// kbd_reg_32 = DFFEA(kbd_reg_33, GLOBAL(\fclk~dataout ), , , \always4~107 , , )
25298
 
25299
	.dataa(\always4~107 ),
25300
	.datab(vcc),
25301
	.datac(vcc),
25302
	.datad(kbd_reg_33),
25303
	.aclr(gnd),
25304
	.aload(gnd),
25305
	.clk(fclk),
25306
	.cin(gnd),
25307
	.cascin(vcc),
25308
	.devclrn(devclrn),
25309
	.devpor(devpor),
25310
	.combout(),
25311
	.regout(kbd_reg_32),
25312
	.cout(),
25313
	.cascout());
25314
// synopsys translate_off
25315
defparam \kbd_reg[32]~I .clock_enable_mode = "true";
25316
defparam \kbd_reg[32]~I .lut_mask = "ff00";
25317
defparam \kbd_reg[32]~I .operation_mode = "normal";
25318
defparam \kbd_reg[32]~I .output_mode = "reg_only";
25319
defparam \kbd_reg[32]~I .packed_mode = "false";
25320
// synopsys translate_on
25321
 
25322
// atom is at LC4_I25
25323
flex10ke_lcell \kbd_reg[35]~I (
25324
// Equation(s):
25325
// kbd_reg_35 = DFFEA(kbd_reg_36, GLOBAL(\fclk~dataout ), , , \always4~107 , , )
25326
 
25327
	.dataa(\always4~107 ),
25328
	.datab(vcc),
25329
	.datac(vcc),
25330
	.datad(kbd_reg_36),
25331
	.aclr(gnd),
25332
	.aload(gnd),
25333
	.clk(fclk),
25334
	.cin(gnd),
25335
	.cascin(vcc),
25336
	.devclrn(devclrn),
25337
	.devpor(devpor),
25338
	.combout(),
25339
	.regout(kbd_reg_35),
25340
	.cout(),
25341
	.cascout());
25342
// synopsys translate_off
25343
defparam \kbd_reg[35]~I .clock_enable_mode = "true";
25344
defparam \kbd_reg[35]~I .lut_mask = "ff00";
25345
defparam \kbd_reg[35]~I .operation_mode = "normal";
25346
defparam \kbd_reg[35]~I .output_mode = "reg_only";
25347
defparam \kbd_reg[35]~I .packed_mode = "false";
25348
// synopsys translate_on
25349
 
25350
// atom is at LC5_I25
25351
flex10ke_lcell \kbd_reg[36]~I (
25352
// Equation(s):
25353
// kbd_reg_36 = DFFEA(kbd_reg_37, GLOBAL(\fclk~dataout ), , , \always4~107 , , )
25354
 
25355
	.dataa(\always4~107 ),
25356
	.datab(vcc),
25357
	.datac(vcc),
25358
	.datad(kbd_reg_37),
25359
	.aclr(gnd),
25360
	.aload(gnd),
25361
	.clk(fclk),
25362
	.cin(gnd),
25363
	.cascin(vcc),
25364
	.devclrn(devclrn),
25365
	.devpor(devpor),
25366
	.combout(),
25367
	.regout(kbd_reg_36),
25368
	.cout(),
25369
	.cascout());
25370
// synopsys translate_off
25371
defparam \kbd_reg[36]~I .clock_enable_mode = "true";
25372
defparam \kbd_reg[36]~I .lut_mask = "ff00";
25373
defparam \kbd_reg[36]~I .operation_mode = "normal";
25374
defparam \kbd_reg[36]~I .output_mode = "reg_only";
25375
defparam \kbd_reg[36]~I .packed_mode = "false";
25376
// synopsys translate_on
25377
 
25378
// atom is at LC1_I35
25379
flex10ke_lcell \kbd_reg[26]~I (
25380
// Equation(s):
25381
// kbd_reg_26 = DFFEA(kbd_reg_27, GLOBAL(\fclk~dataout ), , , \always4~107 , , )
25382
 
25383
	.dataa(\always4~107 ),
25384
	.datab(vcc),
25385
	.datac(vcc),
25386
	.datad(kbd_reg_27),
25387
	.aclr(gnd),
25388
	.aload(gnd),
25389
	.clk(fclk),
25390
	.cin(gnd),
25391
	.cascin(vcc),
25392
	.devclrn(devclrn),
25393
	.devpor(devpor),
25394
	.combout(),
25395
	.regout(kbd_reg_26),
25396
	.cout(),
25397
	.cascout());
25398
// synopsys translate_off
25399
defparam \kbd_reg[26]~I .clock_enable_mode = "true";
25400
defparam \kbd_reg[26]~I .lut_mask = "ff00";
25401
defparam \kbd_reg[26]~I .operation_mode = "normal";
25402
defparam \kbd_reg[26]~I .output_mode = "reg_only";
25403
defparam \kbd_reg[26]~I .packed_mode = "false";
25404
// synopsys translate_on
25405
 
25406
// atom is at LC7_I36
25407
flex10ke_lcell \kbd_reg[31]~I (
25408
// Equation(s):
25409
// kbd_reg_31 = DFFEA(kbd_reg_32, GLOBAL(\fclk~dataout ), , , \always4~107 , , )
25410
 
25411
	.dataa(\always4~107 ),
25412
	.datab(vcc),
25413
	.datac(vcc),
25414
	.datad(kbd_reg_32),
25415
	.aclr(gnd),
25416
	.aload(gnd),
25417
	.clk(fclk),
25418
	.cin(gnd),
25419
	.cascin(vcc),
25420
	.devclrn(devclrn),
25421
	.devpor(devpor),
25422
	.combout(),
25423
	.regout(kbd_reg_31),
25424
	.cout(),
25425
	.cascout());
25426
// synopsys translate_off
25427
defparam \kbd_reg[31]~I .clock_enable_mode = "true";
25428
defparam \kbd_reg[31]~I .lut_mask = "ff00";
25429
defparam \kbd_reg[31]~I .operation_mode = "normal";
25430
defparam \kbd_reg[31]~I .output_mode = "reg_only";
25431
defparam \kbd_reg[31]~I .packed_mode = "false";
25432
// synopsys translate_on
25433
 
25434
// atom is at LC3_I34
25435
flex10ke_lcell \kbd_reg[25]~I (
25436
// Equation(s):
25437
// kbd_reg_25 = DFFEA(kbd_reg_26, GLOBAL(\fclk~dataout ), , , \always4~107 , , )
25438
 
25439
	.dataa(\always4~107 ),
25440
	.datab(vcc),
25441
	.datac(vcc),
25442
	.datad(kbd_reg_26),
25443
	.aclr(gnd),
25444
	.aload(gnd),
25445
	.clk(fclk),
25446
	.cin(gnd),
25447
	.cascin(vcc),
25448
	.devclrn(devclrn),
25449
	.devpor(devpor),
25450
	.combout(),
25451
	.regout(kbd_reg_25),
25452
	.cout(),
25453
	.cascout());
25454
// synopsys translate_off
25455
defparam \kbd_reg[25]~I .clock_enable_mode = "true";
25456
defparam \kbd_reg[25]~I .lut_mask = "ff00";
25457
defparam \kbd_reg[25]~I .operation_mode = "normal";
25458
defparam \kbd_reg[25]~I .output_mode = "reg_only";
25459
defparam \kbd_reg[25]~I .packed_mode = "false";
25460
// synopsys translate_on
25461
 
25462
// atom is at LC8_I36
25463
flex10ke_lcell \kbd_reg[30]~I (
25464
// Equation(s):
25465
// kbd_reg_30 = DFFEA(kbd_reg_31, GLOBAL(\fclk~dataout ), , , \always4~107 , , )
25466
 
25467
	.dataa(\always4~107 ),
25468
	.datab(vcc),
25469
	.datac(vcc),
25470
	.datad(kbd_reg_31),
25471
	.aclr(gnd),
25472
	.aload(gnd),
25473
	.clk(fclk),
25474
	.cin(gnd),
25475
	.cascin(vcc),
25476
	.devclrn(devclrn),
25477
	.devpor(devpor),
25478
	.combout(),
25479
	.regout(kbd_reg_30),
25480
	.cout(),
25481
	.cascout());
25482
// synopsys translate_off
25483
defparam \kbd_reg[30]~I .clock_enable_mode = "true";
25484
defparam \kbd_reg[30]~I .lut_mask = "ff00";
25485
defparam \kbd_reg[30]~I .operation_mode = "normal";
25486
defparam \kbd_reg[30]~I .output_mode = "reg_only";
25487
defparam \kbd_reg[30]~I .packed_mode = "false";
25488
// synopsys translate_on
25489
 
25490
// atom is at LC3_I36
25491
flex10ke_lcell \kbd_reg[29]~I (
25492
// Equation(s):
25493
// kbd_reg_29 = DFFEA(kbd_reg_30, GLOBAL(\fclk~dataout ), , , \always4~107 , , )
25494
 
25495
	.dataa(\always4~107 ),
25496
	.datab(vcc),
25497
	.datac(vcc),
25498
	.datad(kbd_reg_30),
25499
	.aclr(gnd),
25500
	.aload(gnd),
25501
	.clk(fclk),
25502
	.cin(gnd),
25503
	.cascin(vcc),
25504
	.devclrn(devclrn),
25505
	.devpor(devpor),
25506
	.combout(),
25507
	.regout(kbd_reg_29),
25508
	.cout(),
25509
	.cascout());
25510
// synopsys translate_off
25511
defparam \kbd_reg[29]~I .clock_enable_mode = "true";
25512
defparam \kbd_reg[29]~I .lut_mask = "ff00";
25513
defparam \kbd_reg[29]~I .operation_mode = "normal";
25514
defparam \kbd_reg[29]~I .output_mode = "reg_only";
25515
defparam \kbd_reg[29]~I .packed_mode = "false";
25516
// synopsys translate_on
25517
 
25518
// atom is at LC4_I34
25519
flex10ke_lcell \kbd_reg[24]~I (
25520
// Equation(s):
25521
// kbd_reg_24 = DFFEA(kbd_reg_25, GLOBAL(\fclk~dataout ), , , \always4~107 , , )
25522
 
25523
	.dataa(\always4~107 ),
25524
	.datab(vcc),
25525
	.datac(vcc),
25526
	.datad(kbd_reg_25),
25527
	.aclr(gnd),
25528
	.aload(gnd),
25529
	.clk(fclk),
25530
	.cin(gnd),
25531
	.cascin(vcc),
25532
	.devclrn(devclrn),
25533
	.devpor(devpor),
25534
	.combout(),
25535
	.regout(kbd_reg_24),
25536
	.cout(),
25537
	.cascout());
25538
// synopsys translate_off
25539
defparam \kbd_reg[24]~I .clock_enable_mode = "true";
25540
defparam \kbd_reg[24]~I .lut_mask = "ff00";
25541
defparam \kbd_reg[24]~I .operation_mode = "normal";
25542
defparam \kbd_reg[24]~I .output_mode = "reg_only";
25543
defparam \kbd_reg[24]~I .packed_mode = "false";
25544
// synopsys translate_on
25545
 
25546
// atom is at LC7_I35
25547
flex10ke_lcell \kbd_reg[27]~I (
25548
// Equation(s):
25549
// kbd_reg_27 = DFFEA(kbd_reg_28, GLOBAL(\fclk~dataout ), , , \always4~107 , , )
25550
 
25551
	.dataa(\always4~107 ),
25552
	.datab(vcc),
25553
	.datac(vcc),
25554
	.datad(kbd_reg_28),
25555
	.aclr(gnd),
25556
	.aload(gnd),
25557
	.clk(fclk),
25558
	.cin(gnd),
25559
	.cascin(vcc),
25560
	.devclrn(devclrn),
25561
	.devpor(devpor),
25562
	.combout(),
25563
	.regout(kbd_reg_27),
25564
	.cout(),
25565
	.cascout());
25566
// synopsys translate_off
25567
defparam \kbd_reg[27]~I .clock_enable_mode = "true";
25568
defparam \kbd_reg[27]~I .lut_mask = "ff00";
25569
defparam \kbd_reg[27]~I .operation_mode = "normal";
25570
defparam \kbd_reg[27]~I .output_mode = "reg_only";
25571
defparam \kbd_reg[27]~I .packed_mode = "false";
25572
// synopsys translate_on
25573
 
25574
// atom is at LC8_I35
25575
flex10ke_lcell \kbd_reg[28]~I (
25576
// Equation(s):
25577
// kbd_reg_28 = DFFEA(kbd_reg_29, GLOBAL(\fclk~dataout ), , , \always4~107 , , )
25578
 
25579
	.dataa(\always4~107 ),
25580
	.datab(vcc),
25581
	.datac(vcc),
25582
	.datad(kbd_reg_29),
25583
	.aclr(gnd),
25584
	.aload(gnd),
25585
	.clk(fclk),
25586
	.cin(gnd),
25587
	.cascin(vcc),
25588
	.devclrn(devclrn),
25589
	.devpor(devpor),
25590
	.combout(),
25591
	.regout(kbd_reg_28),
25592
	.cout(),
25593
	.cascout());
25594
// synopsys translate_off
25595
defparam \kbd_reg[28]~I .clock_enable_mode = "true";
25596
defparam \kbd_reg[28]~I .lut_mask = "ff00";
25597
defparam \kbd_reg[28]~I .operation_mode = "normal";
25598
defparam \kbd_reg[28]~I .output_mode = "reg_only";
25599
defparam \kbd_reg[28]~I .packed_mode = "false";
25600
// synopsys translate_on
25601
 
25602
// atom is at LC6_B22
25603
flex10ke_lcell \kbd_reg[18]~I (
25604
// Equation(s):
25605
// kbd_reg_18 = DFFEA(kbd_reg_19, GLOBAL(\fclk~dataout ), , , \always4~107 , , )
25606
 
25607
	.dataa(\always4~107 ),
25608
	.datab(vcc),
25609
	.datac(vcc),
25610
	.datad(kbd_reg_19),
25611
	.aclr(gnd),
25612
	.aload(gnd),
25613
	.clk(fclk),
25614
	.cin(gnd),
25615
	.cascin(vcc),
25616
	.devclrn(devclrn),
25617
	.devpor(devpor),
25618
	.combout(),
25619
	.regout(kbd_reg_18),
25620
	.cout(),
25621
	.cascout());
25622
// synopsys translate_off
25623
defparam \kbd_reg[18]~I .clock_enable_mode = "true";
25624
defparam \kbd_reg[18]~I .lut_mask = "ff00";
25625
defparam \kbd_reg[18]~I .operation_mode = "normal";
25626
defparam \kbd_reg[18]~I .output_mode = "reg_only";
25627
defparam \kbd_reg[18]~I .packed_mode = "false";
25628
// synopsys translate_on
25629
 
25630
// atom is at LC6_B31
25631
flex10ke_lcell \kbd_reg[23]~I (
25632
// Equation(s):
25633
// kbd_reg_23 = DFFEA(kbd_reg_24, GLOBAL(\fclk~dataout ), , , \always4~107 , , )
25634
 
25635
	.dataa(\always4~107 ),
25636
	.datab(vcc),
25637
	.datac(vcc),
25638
	.datad(kbd_reg_24),
25639
	.aclr(gnd),
25640
	.aload(gnd),
25641
	.clk(fclk),
25642
	.cin(gnd),
25643
	.cascin(vcc),
25644
	.devclrn(devclrn),
25645
	.devpor(devpor),
25646
	.combout(),
25647
	.regout(kbd_reg_23),
25648
	.cout(),
25649
	.cascout());
25650
// synopsys translate_off
25651
defparam \kbd_reg[23]~I .clock_enable_mode = "true";
25652
defparam \kbd_reg[23]~I .lut_mask = "ff00";
25653
defparam \kbd_reg[23]~I .operation_mode = "normal";
25654
defparam \kbd_reg[23]~I .output_mode = "reg_only";
25655
defparam \kbd_reg[23]~I .packed_mode = "false";
25656
// synopsys translate_on
25657
 
25658
// atom is at LC7_B22
25659
flex10ke_lcell \kbd_reg[17]~I (
25660
// Equation(s):
25661
// kbd_reg_17 = DFFEA(kbd_reg_18, GLOBAL(\fclk~dataout ), , , \always4~107 , , )
25662
 
25663
	.dataa(\always4~107 ),
25664
	.datab(vcc),
25665
	.datac(vcc),
25666
	.datad(kbd_reg_18),
25667
	.aclr(gnd),
25668
	.aload(gnd),
25669
	.clk(fclk),
25670
	.cin(gnd),
25671
	.cascin(vcc),
25672
	.devclrn(devclrn),
25673
	.devpor(devpor),
25674
	.combout(),
25675
	.regout(kbd_reg_17),
25676
	.cout(),
25677
	.cascout());
25678
// synopsys translate_off
25679
defparam \kbd_reg[17]~I .clock_enable_mode = "true";
25680
defparam \kbd_reg[17]~I .lut_mask = "ff00";
25681
defparam \kbd_reg[17]~I .operation_mode = "normal";
25682
defparam \kbd_reg[17]~I .output_mode = "reg_only";
25683
defparam \kbd_reg[17]~I .packed_mode = "false";
25684
// synopsys translate_on
25685
 
25686
// atom is at LC7_B31
25687
flex10ke_lcell \kbd_reg[22]~I (
25688
// Equation(s):
25689
// kbd_reg_22 = DFFEA(kbd_reg_23, GLOBAL(\fclk~dataout ), , , \always4~107 , , )
25690
 
25691
	.dataa(\always4~107 ),
25692
	.datab(vcc),
25693
	.datac(vcc),
25694
	.datad(kbd_reg_23),
25695
	.aclr(gnd),
25696
	.aload(gnd),
25697
	.clk(fclk),
25698
	.cin(gnd),
25699
	.cascin(vcc),
25700
	.devclrn(devclrn),
25701
	.devpor(devpor),
25702
	.combout(),
25703
	.regout(kbd_reg_22),
25704
	.cout(),
25705
	.cascout());
25706
// synopsys translate_off
25707
defparam \kbd_reg[22]~I .clock_enable_mode = "true";
25708
defparam \kbd_reg[22]~I .lut_mask = "ff00";
25709
defparam \kbd_reg[22]~I .operation_mode = "normal";
25710
defparam \kbd_reg[22]~I .output_mode = "reg_only";
25711
defparam \kbd_reg[22]~I .packed_mode = "false";
25712
// synopsys translate_on
25713
 
25714
// atom is at LC8_B31
25715
flex10ke_lcell \kbd_reg[21]~I (
25716
// Equation(s):
25717
// kbd_reg_21 = DFFEA(kbd_reg_22, GLOBAL(\fclk~dataout ), , , \always4~107 , , )
25718
 
25719
	.dataa(\always4~107 ),
25720
	.datab(vcc),
25721
	.datac(vcc),
25722
	.datad(kbd_reg_22),
25723
	.aclr(gnd),
25724
	.aload(gnd),
25725
	.clk(fclk),
25726
	.cin(gnd),
25727
	.cascin(vcc),
25728
	.devclrn(devclrn),
25729
	.devpor(devpor),
25730
	.combout(),
25731
	.regout(kbd_reg_21),
25732
	.cout(),
25733
	.cascout());
25734
// synopsys translate_off
25735
defparam \kbd_reg[21]~I .clock_enable_mode = "true";
25736
defparam \kbd_reg[21]~I .lut_mask = "ff00";
25737
defparam \kbd_reg[21]~I .operation_mode = "normal";
25738
defparam \kbd_reg[21]~I .output_mode = "reg_only";
25739
defparam \kbd_reg[21]~I .packed_mode = "false";
25740
// synopsys translate_on
25741
 
25742
// atom is at LC1_B22
25743
flex10ke_lcell \kbd_reg[16]~I (
25744
// Equation(s):
25745
// kbd_reg_16 = DFFEA(kbd_reg_17, GLOBAL(\fclk~dataout ), , , \always4~107 , , )
25746
 
25747
	.dataa(\always4~107 ),
25748
	.datab(vcc),
25749
	.datac(vcc),
25750
	.datad(kbd_reg_17),
25751
	.aclr(gnd),
25752
	.aload(gnd),
25753
	.clk(fclk),
25754
	.cin(gnd),
25755
	.cascin(vcc),
25756
	.devclrn(devclrn),
25757
	.devpor(devpor),
25758
	.combout(),
25759
	.regout(kbd_reg_16),
25760
	.cout(),
25761
	.cascout());
25762
// synopsys translate_off
25763
defparam \kbd_reg[16]~I .clock_enable_mode = "true";
25764
defparam \kbd_reg[16]~I .lut_mask = "ff00";
25765
defparam \kbd_reg[16]~I .operation_mode = "normal";
25766
defparam \kbd_reg[16]~I .output_mode = "reg_only";
25767
defparam \kbd_reg[16]~I .packed_mode = "false";
25768
// synopsys translate_on
25769
 
25770
// atom is at LC3_B27
25771
flex10ke_lcell \kbd_reg[19]~I (
25772
// Equation(s):
25773
// kbd_reg_19 = DFFEA(kbd_reg_20, GLOBAL(\fclk~dataout ), , , \always4~107 , , )
25774
 
25775
	.dataa(\always4~107 ),
25776
	.datab(vcc),
25777
	.datac(vcc),
25778
	.datad(kbd_reg_20),
25779
	.aclr(gnd),
25780
	.aload(gnd),
25781
	.clk(fclk),
25782
	.cin(gnd),
25783
	.cascin(vcc),
25784
	.devclrn(devclrn),
25785
	.devpor(devpor),
25786
	.combout(),
25787
	.regout(kbd_reg_19),
25788
	.cout(),
25789
	.cascout());
25790
// synopsys translate_off
25791
defparam \kbd_reg[19]~I .clock_enable_mode = "true";
25792
defparam \kbd_reg[19]~I .lut_mask = "ff00";
25793
defparam \kbd_reg[19]~I .operation_mode = "normal";
25794
defparam \kbd_reg[19]~I .output_mode = "reg_only";
25795
defparam \kbd_reg[19]~I .packed_mode = "false";
25796
// synopsys translate_on
25797
 
25798
// atom is at LC1_B31
25799
flex10ke_lcell \kbd_reg[20]~I (
25800
// Equation(s):
25801
// kbd_reg_20 = DFFEA(kbd_reg_21, GLOBAL(\fclk~dataout ), , , \always4~107 , , )
25802
 
25803
	.dataa(\always4~107 ),
25804
	.datab(vcc),
25805
	.datac(vcc),
25806
	.datad(kbd_reg_21),
25807
	.aclr(gnd),
25808
	.aload(gnd),
25809
	.clk(fclk),
25810
	.cin(gnd),
25811
	.cascin(vcc),
25812
	.devclrn(devclrn),
25813
	.devpor(devpor),
25814
	.combout(),
25815
	.regout(kbd_reg_20),
25816
	.cout(),
25817
	.cascout());
25818
// synopsys translate_off
25819
defparam \kbd_reg[20]~I .clock_enable_mode = "true";
25820
defparam \kbd_reg[20]~I .lut_mask = "ff00";
25821
defparam \kbd_reg[20]~I .operation_mode = "normal";
25822
defparam \kbd_reg[20]~I .output_mode = "reg_only";
25823
defparam \kbd_reg[20]~I .packed_mode = "false";
25824
// synopsys translate_on
25825
 
25826
// atom is at LC6_B24
25827
flex10ke_lcell \kbd_reg[10]~I (
25828
// Equation(s):
25829
// kbd_reg_10 = DFFEA(kbd_reg_11, GLOBAL(\fclk~dataout ), , , \always4~107 , , )
25830
 
25831
	.dataa(\always4~107 ),
25832
	.datab(vcc),
25833
	.datac(vcc),
25834
	.datad(kbd_reg_11),
25835
	.aclr(gnd),
25836
	.aload(gnd),
25837
	.clk(fclk),
25838
	.cin(gnd),
25839
	.cascin(vcc),
25840
	.devclrn(devclrn),
25841
	.devpor(devpor),
25842
	.combout(),
25843
	.regout(kbd_reg_10),
25844
	.cout(),
25845
	.cascout());
25846
// synopsys translate_off
25847
defparam \kbd_reg[10]~I .clock_enable_mode = "true";
25848
defparam \kbd_reg[10]~I .lut_mask = "ff00";
25849
defparam \kbd_reg[10]~I .operation_mode = "normal";
25850
defparam \kbd_reg[10]~I .output_mode = "reg_only";
25851
defparam \kbd_reg[10]~I .packed_mode = "false";
25852
// synopsys translate_on
25853
 
25854
// atom is at LC3_B25
25855
flex10ke_lcell \kbd_reg[15]~I (
25856
// Equation(s):
25857
// kbd_reg_15 = DFFEA(kbd_reg_16, GLOBAL(\fclk~dataout ), , , \always4~107 , , )
25858
 
25859
	.dataa(\always4~107 ),
25860
	.datab(vcc),
25861
	.datac(vcc),
25862
	.datad(kbd_reg_16),
25863
	.aclr(gnd),
25864
	.aload(gnd),
25865
	.clk(fclk),
25866
	.cin(gnd),
25867
	.cascin(vcc),
25868
	.devclrn(devclrn),
25869
	.devpor(devpor),
25870
	.combout(),
25871
	.regout(kbd_reg_15),
25872
	.cout(),
25873
	.cascout());
25874
// synopsys translate_off
25875
defparam \kbd_reg[15]~I .clock_enable_mode = "true";
25876
defparam \kbd_reg[15]~I .lut_mask = "ff00";
25877
defparam \kbd_reg[15]~I .operation_mode = "normal";
25878
defparam \kbd_reg[15]~I .output_mode = "reg_only";
25879
defparam \kbd_reg[15]~I .packed_mode = "false";
25880
// synopsys translate_on
25881
 
25882
// atom is at LC8_B24
25883
flex10ke_lcell \kbd_reg[9]~I (
25884
// Equation(s):
25885
// kbd_reg_9 = DFFEA(kbd_reg_10, GLOBAL(\fclk~dataout ), , , \always4~107 , , )
25886
 
25887
	.dataa(\always4~107 ),
25888
	.datab(vcc),
25889
	.datac(vcc),
25890
	.datad(kbd_reg_10),
25891
	.aclr(gnd),
25892
	.aload(gnd),
25893
	.clk(fclk),
25894
	.cin(gnd),
25895
	.cascin(vcc),
25896
	.devclrn(devclrn),
25897
	.devpor(devpor),
25898
	.combout(),
25899
	.regout(kbd_reg_9),
25900
	.cout(),
25901
	.cascout());
25902
// synopsys translate_off
25903
defparam \kbd_reg[9]~I .clock_enable_mode = "true";
25904
defparam \kbd_reg[9]~I .lut_mask = "ff00";
25905
defparam \kbd_reg[9]~I .operation_mode = "normal";
25906
defparam \kbd_reg[9]~I .output_mode = "reg_only";
25907
defparam \kbd_reg[9]~I .packed_mode = "false";
25908
// synopsys translate_on
25909
 
25910
// atom is at LC5_B25
25911
flex10ke_lcell \kbd_reg[14]~I (
25912
// Equation(s):
25913
// kbd_reg_14 = DFFEA(kbd_reg_15, GLOBAL(\fclk~dataout ), , , \always4~107 , , )
25914
 
25915
	.dataa(\always4~107 ),
25916
	.datab(vcc),
25917
	.datac(vcc),
25918
	.datad(kbd_reg_15),
25919
	.aclr(gnd),
25920
	.aload(gnd),
25921
	.clk(fclk),
25922
	.cin(gnd),
25923
	.cascin(vcc),
25924
	.devclrn(devclrn),
25925
	.devpor(devpor),
25926
	.combout(),
25927
	.regout(kbd_reg_14),
25928
	.cout(),
25929
	.cascout());
25930
// synopsys translate_off
25931
defparam \kbd_reg[14]~I .clock_enable_mode = "true";
25932
defparam \kbd_reg[14]~I .lut_mask = "ff00";
25933
defparam \kbd_reg[14]~I .operation_mode = "normal";
25934
defparam \kbd_reg[14]~I .output_mode = "reg_only";
25935
defparam \kbd_reg[14]~I .packed_mode = "false";
25936
// synopsys translate_on
25937
 
25938
// atom is at LC4_B25
25939
flex10ke_lcell \kbd_reg[13]~I (
25940
// Equation(s):
25941
// kbd_reg_13 = DFFEA(kbd_reg_14, GLOBAL(\fclk~dataout ), , , \always4~107 , , )
25942
 
25943
	.dataa(\always4~107 ),
25944
	.datab(vcc),
25945
	.datac(vcc),
25946
	.datad(kbd_reg_14),
25947
	.aclr(gnd),
25948
	.aload(gnd),
25949
	.clk(fclk),
25950
	.cin(gnd),
25951
	.cascin(vcc),
25952
	.devclrn(devclrn),
25953
	.devpor(devpor),
25954
	.combout(),
25955
	.regout(kbd_reg_13),
25956
	.cout(),
25957
	.cascout());
25958
// synopsys translate_off
25959
defparam \kbd_reg[13]~I .clock_enable_mode = "true";
25960
defparam \kbd_reg[13]~I .lut_mask = "ff00";
25961
defparam \kbd_reg[13]~I .operation_mode = "normal";
25962
defparam \kbd_reg[13]~I .output_mode = "reg_only";
25963
defparam \kbd_reg[13]~I .packed_mode = "false";
25964
// synopsys translate_on
25965
 
25966
// atom is at LC1_B24
25967
flex10ke_lcell \kbd_reg[8]~I (
25968
// Equation(s):
25969
// kbd_reg_8 = DFFEA(kbd_reg_9, GLOBAL(\fclk~dataout ), , , \always4~107 , , )
25970
 
25971
	.dataa(\always4~107 ),
25972
	.datab(vcc),
25973
	.datac(vcc),
25974
	.datad(kbd_reg_9),
25975
	.aclr(gnd),
25976
	.aload(gnd),
25977
	.clk(fclk),
25978
	.cin(gnd),
25979
	.cascin(vcc),
25980
	.devclrn(devclrn),
25981
	.devpor(devpor),
25982
	.combout(),
25983
	.regout(kbd_reg_8),
25984
	.cout(),
25985
	.cascout());
25986
// synopsys translate_off
25987
defparam \kbd_reg[8]~I .clock_enable_mode = "true";
25988
defparam \kbd_reg[8]~I .lut_mask = "ff00";
25989
defparam \kbd_reg[8]~I .operation_mode = "normal";
25990
defparam \kbd_reg[8]~I .output_mode = "reg_only";
25991
defparam \kbd_reg[8]~I .packed_mode = "false";
25992
// synopsys translate_on
25993
 
25994
// atom is at LC8_B29
25995
flex10ke_lcell \kbd_reg[11]~I (
25996
// Equation(s):
25997
// kbd_reg_11 = DFFEA(kbd_reg_12, GLOBAL(\fclk~dataout ), , , \always4~107 , , )
25998
 
25999
	.dataa(\always4~107 ),
26000
	.datab(vcc),
26001
	.datac(vcc),
26002
	.datad(kbd_reg_12),
26003
	.aclr(gnd),
26004
	.aload(gnd),
26005
	.clk(fclk),
26006
	.cin(gnd),
26007
	.cascin(vcc),
26008
	.devclrn(devclrn),
26009
	.devpor(devpor),
26010
	.combout(),
26011
	.regout(kbd_reg_11),
26012
	.cout(),
26013
	.cascout());
26014
// synopsys translate_off
26015
defparam \kbd_reg[11]~I .clock_enable_mode = "true";
26016
defparam \kbd_reg[11]~I .lut_mask = "ff00";
26017
defparam \kbd_reg[11]~I .operation_mode = "normal";
26018
defparam \kbd_reg[11]~I .output_mode = "reg_only";
26019
defparam \kbd_reg[11]~I .packed_mode = "false";
26020
// synopsys translate_on
26021
 
26022
// atom is at LC7_B29
26023
flex10ke_lcell \kbd_reg[12]~I (
26024
// Equation(s):
26025
// kbd_reg_12 = DFFEA(kbd_reg_13, GLOBAL(\fclk~dataout ), , , \always4~107 , , )
26026
 
26027
	.dataa(\always4~107 ),
26028
	.datab(vcc),
26029
	.datac(vcc),
26030
	.datad(kbd_reg_13),
26031
	.aclr(gnd),
26032
	.aload(gnd),
26033
	.clk(fclk),
26034
	.cin(gnd),
26035
	.cascin(vcc),
26036
	.devclrn(devclrn),
26037
	.devpor(devpor),
26038
	.combout(),
26039
	.regout(kbd_reg_12),
26040
	.cout(),
26041
	.cascout());
26042
// synopsys translate_off
26043
defparam \kbd_reg[12]~I .clock_enable_mode = "true";
26044
defparam \kbd_reg[12]~I .lut_mask = "ff00";
26045
defparam \kbd_reg[12]~I .operation_mode = "normal";
26046
defparam \kbd_reg[12]~I .output_mode = "reg_only";
26047
defparam \kbd_reg[12]~I .packed_mode = "false";
26048
// synopsys translate_on
26049
 
26050
// atom is at LC2_A33
26051
flex10ke_lcell \kbd_reg[2]~I (
26052
// Equation(s):
26053
// kbd_reg_2 = DFFEA(kbd_reg_3, GLOBAL(\fclk~dataout ), , , \always4~107 , , )
26054
 
26055
	.dataa(\always4~107 ),
26056
	.datab(vcc),
26057
	.datac(vcc),
26058
	.datad(kbd_reg_3),
26059
	.aclr(gnd),
26060
	.aload(gnd),
26061
	.clk(fclk),
26062
	.cin(gnd),
26063
	.cascin(vcc),
26064
	.devclrn(devclrn),
26065
	.devpor(devpor),
26066
	.combout(),
26067
	.regout(kbd_reg_2),
26068
	.cout(),
26069
	.cascout());
26070
// synopsys translate_off
26071
defparam \kbd_reg[2]~I .clock_enable_mode = "true";
26072
defparam \kbd_reg[2]~I .lut_mask = "ff00";
26073
defparam \kbd_reg[2]~I .operation_mode = "normal";
26074
defparam \kbd_reg[2]~I .output_mode = "reg_only";
26075
defparam \kbd_reg[2]~I .packed_mode = "false";
26076
// synopsys translate_on
26077
 
26078
// atom is at LC1_B26
26079
flex10ke_lcell \kbd_reg[7]~I (
26080
// Equation(s):
26081
// kbd_reg_7 = DFFEA(kbd_reg_8, GLOBAL(\fclk~dataout ), , , \always4~107 , , )
26082
 
26083
	.dataa(\always4~107 ),
26084
	.datab(vcc),
26085
	.datac(vcc),
26086
	.datad(kbd_reg_8),
26087
	.aclr(gnd),
26088
	.aload(gnd),
26089
	.clk(fclk),
26090
	.cin(gnd),
26091
	.cascin(vcc),
26092
	.devclrn(devclrn),
26093
	.devpor(devpor),
26094
	.combout(),
26095
	.regout(kbd_reg_7),
26096
	.cout(),
26097
	.cascout());
26098
// synopsys translate_off
26099
defparam \kbd_reg[7]~I .clock_enable_mode = "true";
26100
defparam \kbd_reg[7]~I .lut_mask = "ff00";
26101
defparam \kbd_reg[7]~I .operation_mode = "normal";
26102
defparam \kbd_reg[7]~I .output_mode = "reg_only";
26103
defparam \kbd_reg[7]~I .packed_mode = "false";
26104
// synopsys translate_on
26105
 
26106
// atom is at LC3_A33
26107
flex10ke_lcell \kbd_reg[1]~I (
26108
// Equation(s):
26109
// kbd_reg_1 = DFFEA(kbd_reg_2, GLOBAL(\fclk~dataout ), , , \always4~107 , , )
26110
 
26111
	.dataa(\always4~107 ),
26112
	.datab(vcc),
26113
	.datac(vcc),
26114
	.datad(kbd_reg_2),
26115
	.aclr(gnd),
26116
	.aload(gnd),
26117
	.clk(fclk),
26118
	.cin(gnd),
26119
	.cascin(vcc),
26120
	.devclrn(devclrn),
26121
	.devpor(devpor),
26122
	.combout(),
26123
	.regout(kbd_reg_1),
26124
	.cout(),
26125
	.cascout());
26126
// synopsys translate_off
26127
defparam \kbd_reg[1]~I .clock_enable_mode = "true";
26128
defparam \kbd_reg[1]~I .lut_mask = "ff00";
26129
defparam \kbd_reg[1]~I .operation_mode = "normal";
26130
defparam \kbd_reg[1]~I .output_mode = "reg_only";
26131
defparam \kbd_reg[1]~I .packed_mode = "false";
26132
// synopsys translate_on
26133
 
26134
// atom is at LC7_A32
26135
flex10ke_lcell \kbd_reg[6]~I (
26136
// Equation(s):
26137
// kbd_reg_6 = DFFEA(kbd_reg_7, GLOBAL(\fclk~dataout ), , , \always4~107 , , )
26138
 
26139
	.dataa(\always4~107 ),
26140
	.datab(vcc),
26141
	.datac(vcc),
26142
	.datad(kbd_reg_7),
26143
	.aclr(gnd),
26144
	.aload(gnd),
26145
	.clk(fclk),
26146
	.cin(gnd),
26147
	.cascin(vcc),
26148
	.devclrn(devclrn),
26149
	.devpor(devpor),
26150
	.combout(),
26151
	.regout(kbd_reg_6),
26152
	.cout(),
26153
	.cascout());
26154
// synopsys translate_off
26155
defparam \kbd_reg[6]~I .clock_enable_mode = "true";
26156
defparam \kbd_reg[6]~I .lut_mask = "ff00";
26157
defparam \kbd_reg[6]~I .operation_mode = "normal";
26158
defparam \kbd_reg[6]~I .output_mode = "reg_only";
26159
defparam \kbd_reg[6]~I .packed_mode = "false";
26160
// synopsys translate_on
26161
 
26162
// atom is at LC8_A32
26163
flex10ke_lcell \kbd_reg[5]~I (
26164
// Equation(s):
26165
// kbd_reg_5 = DFFEA(kbd_reg_6, GLOBAL(\fclk~dataout ), , , \always4~107 , , )
26166
 
26167
	.dataa(\always4~107 ),
26168
	.datab(vcc),
26169
	.datac(vcc),
26170
	.datad(kbd_reg_6),
26171
	.aclr(gnd),
26172
	.aload(gnd),
26173
	.clk(fclk),
26174
	.cin(gnd),
26175
	.cascin(vcc),
26176
	.devclrn(devclrn),
26177
	.devpor(devpor),
26178
	.combout(),
26179
	.regout(kbd_reg_5),
26180
	.cout(),
26181
	.cascout());
26182
// synopsys translate_off
26183
defparam \kbd_reg[5]~I .clock_enable_mode = "true";
26184
defparam \kbd_reg[5]~I .lut_mask = "ff00";
26185
defparam \kbd_reg[5]~I .operation_mode = "normal";
26186
defparam \kbd_reg[5]~I .output_mode = "reg_only";
26187
defparam \kbd_reg[5]~I .packed_mode = "false";
26188
// synopsys translate_on
26189
 
26190
// atom is at LC5_A33
26191
flex10ke_lcell \kbd_reg[0]~I (
26192
// Equation(s):
26193
// kbd_reg_0 = DFFEA(kbd_reg_1, GLOBAL(\fclk~dataout ), , , \always4~107 , , )
26194
 
26195
	.dataa(\always4~107 ),
26196
	.datab(vcc),
26197
	.datac(vcc),
26198
	.datad(kbd_reg_1),
26199
	.aclr(gnd),
26200
	.aload(gnd),
26201
	.clk(fclk),
26202
	.cin(gnd),
26203
	.cascin(vcc),
26204
	.devclrn(devclrn),
26205
	.devpor(devpor),
26206
	.combout(),
26207
	.regout(kbd_reg_0),
26208
	.cout(),
26209
	.cascout());
26210
// synopsys translate_off
26211
defparam \kbd_reg[0]~I .clock_enable_mode = "true";
26212
defparam \kbd_reg[0]~I .lut_mask = "ff00";
26213
defparam \kbd_reg[0]~I .operation_mode = "normal";
26214
defparam \kbd_reg[0]~I .output_mode = "reg_only";
26215
defparam \kbd_reg[0]~I .packed_mode = "false";
26216
// synopsys translate_on
26217
 
26218
// atom is at LC7_A33
26219
flex10ke_lcell \kbd_reg[3]~I (
26220
// Equation(s):
26221
// kbd_reg_3 = DFFEA(kbd_reg_4, GLOBAL(\fclk~dataout ), , , \always4~107 , , )
26222
 
26223
	.dataa(\always4~107 ),
26224
	.datab(vcc),
26225
	.datac(vcc),
26226
	.datad(kbd_reg_4),
26227
	.aclr(gnd),
26228
	.aload(gnd),
26229
	.clk(fclk),
26230
	.cin(gnd),
26231
	.cascin(vcc),
26232
	.devclrn(devclrn),
26233
	.devpor(devpor),
26234
	.combout(),
26235
	.regout(kbd_reg_3),
26236
	.cout(),
26237
	.cascout());
26238
// synopsys translate_off
26239
defparam \kbd_reg[3]~I .clock_enable_mode = "true";
26240
defparam \kbd_reg[3]~I .lut_mask = "ff00";
26241
defparam \kbd_reg[3]~I .operation_mode = "normal";
26242
defparam \kbd_reg[3]~I .output_mode = "reg_only";
26243
defparam \kbd_reg[3]~I .packed_mode = "false";
26244
// synopsys translate_on
26245
 
26246
// atom is at LC5_A32
26247
flex10ke_lcell \kbd_reg[4]~I (
26248
// Equation(s):
26249
// kbd_reg_4 = DFFEA(kbd_reg_5, GLOBAL(\fclk~dataout ), , , \always4~107 , , )
26250
 
26251
	.dataa(\always4~107 ),
26252
	.datab(vcc),
26253
	.datac(vcc),
26254
	.datad(kbd_reg_5),
26255
	.aclr(gnd),
26256
	.aload(gnd),
26257
	.clk(fclk),
26258
	.cin(gnd),
26259
	.cascin(vcc),
26260
	.devclrn(devclrn),
26261
	.devpor(devpor),
26262
	.combout(),
26263
	.regout(kbd_reg_4),
26264
	.cout(),
26265
	.cascout());
26266
// synopsys translate_off
26267
defparam \kbd_reg[4]~I .clock_enable_mode = "true";
26268
defparam \kbd_reg[4]~I .lut_mask = "ff00";
26269
defparam \kbd_reg[4]~I .operation_mode = "normal";
26270
defparam \kbd_reg[4]~I .output_mode = "reg_only";
26271
defparam \kbd_reg[4]~I .packed_mode = "false";
26272
// synopsys translate_on
26273
 
26274
// atom is at LC1_D32
26275
flex10ke_lcell \spick_sync[0]~I (
26276
// Equation(s):
26277
// spick_sync[0] = DFFEA(\spick~dataout , GLOBAL(\fclk~dataout ), , , , , )
26278
 
26279
	.dataa(vcc),
26280
	.datab(vcc),
26281
	.datac(vcc),
26282
	.datad(spick),
26283
	.aclr(gnd),
26284
	.aload(gnd),
26285
	.clk(fclk),
26286
	.cin(gnd),
26287
	.cascin(vcc),
26288
	.devclrn(devclrn),
26289
	.devpor(devpor),
26290
	.combout(),
26291
	.regout(spick_sync[0]),
26292
	.cout(),
26293
	.cascout());
26294
// synopsys translate_off
26295
defparam \spick_sync[0]~I .clock_enable_mode = "false";
26296
defparam \spick_sync[0]~I .lut_mask = "ff00";
26297
defparam \spick_sync[0]~I .operation_mode = "normal";
26298
defparam \spick_sync[0]~I .output_mode = "reg_only";
26299
defparam \spick_sync[0]~I .packed_mode = "false";
26300
// synopsys translate_on
26301
 
26302
// atom is at LC2_D32
26303
flex10ke_lcell \spick_sync[1]~I (
26304
// Equation(s):
26305
// spick_sync[1] = DFFEA(spick_sync[0], GLOBAL(\fclk~dataout ), , , , , )
26306
 
26307
	.dataa(vcc),
26308
	.datab(vcc),
26309
	.datac(vcc),
26310
	.datad(spick_sync[0]),
26311
	.aclr(gnd),
26312
	.aload(gnd),
26313
	.clk(fclk),
26314
	.cin(gnd),
26315
	.cascin(vcc),
26316
	.devclrn(devclrn),
26317
	.devpor(devpor),
26318
	.combout(),
26319
	.regout(spick_sync[1]),
26320
	.cout(),
26321
	.cascout());
26322
// synopsys translate_off
26323
defparam \spick_sync[1]~I .clock_enable_mode = "false";
26324
defparam \spick_sync[1]~I .lut_mask = "ff00";
26325
defparam \spick_sync[1]~I .operation_mode = "normal";
26326
defparam \spick_sync[1]~I .output_mode = "reg_only";
26327
defparam \spick_sync[1]~I .packed_mode = "false";
26328
// synopsys translate_on
26329
 
26330
// atom is at LC5_D36
26331
flex10ke_lcell \spick_sync[2]~I (
26332
// Equation(s):
26333
// spick_sync[2] = DFFEA(spick_sync[1], GLOBAL(\fclk~dataout ), , , , , )
26334
 
26335
	.dataa(vcc),
26336
	.datab(vcc),
26337
	.datac(vcc),
26338
	.datad(spick_sync[1]),
26339
	.aclr(gnd),
26340
	.aload(gnd),
26341
	.clk(fclk),
26342
	.cin(gnd),
26343
	.cascin(vcc),
26344
	.devclrn(devclrn),
26345
	.devpor(devpor),
26346
	.combout(),
26347
	.regout(spick_sync[2]),
26348
	.cout(),
26349
	.cascout());
26350
// synopsys translate_off
26351
defparam \spick_sync[2]~I .clock_enable_mode = "false";
26352
defparam \spick_sync[2]~I .lut_mask = "ff00";
26353
defparam \spick_sync[2]~I .operation_mode = "normal";
26354
defparam \spick_sync[2]~I .output_mode = "reg_only";
26355
defparam \spick_sync[2]~I .packed_mode = "false";
26356
// synopsys translate_on
26357
 
26358
// atom is at LC3_J23
26359
flex10ke_lcell \spido_sync[0]~I (
26360
// Equation(s):
26361
// spido_sync[0] = DFFEA(\spido~dataout , GLOBAL(\fclk~dataout ), , , , , )
26362
 
26363
	.dataa(vcc),
26364
	.datab(vcc),
26365
	.datac(vcc),
26366
	.datad(spido),
26367
	.aclr(gnd),
26368
	.aload(gnd),
26369
	.clk(fclk),
26370
	.cin(gnd),
26371
	.cascin(vcc),
26372
	.devclrn(devclrn),
26373
	.devpor(devpor),
26374
	.combout(),
26375
	.regout(spido_sync[0]),
26376
	.cout(),
26377
	.cascout());
26378
// synopsys translate_off
26379
defparam \spido_sync[0]~I .clock_enable_mode = "false";
26380
defparam \spido_sync[0]~I .lut_mask = "ff00";
26381
defparam \spido_sync[0]~I .operation_mode = "normal";
26382
defparam \spido_sync[0]~I .output_mode = "reg_only";
26383
defparam \spido_sync[0]~I .packed_mode = "false";
26384
// synopsys translate_on
26385
 
26386
// atom is at LC1_J23
26387
flex10ke_lcell \spido_sync[1]~I (
26388
// Equation(s):
26389
// spido_sync[1] = DFFEA(spido_sync[0], GLOBAL(\fclk~dataout ), , , , , )
26390
 
26391
	.dataa(vcc),
26392
	.datab(vcc),
26393
	.datac(vcc),
26394
	.datad(spido_sync[0]),
26395
	.aclr(gnd),
26396
	.aload(gnd),
26397
	.clk(fclk),
26398
	.cin(gnd),
26399
	.cascin(vcc),
26400
	.devclrn(devclrn),
26401
	.devpor(devpor),
26402
	.combout(),
26403
	.regout(spido_sync[1]),
26404
	.cout(),
26405
	.cascout());
26406
// synopsys translate_off
26407
defparam \spido_sync[1]~I .clock_enable_mode = "false";
26408
defparam \spido_sync[1]~I .lut_mask = "ff00";
26409
defparam \spido_sync[1]~I .operation_mode = "normal";
26410
defparam \spido_sync[1]~I .output_mode = "reg_only";
26411
defparam \spido_sync[1]~I .packed_mode = "false";
26412
// synopsys translate_on
26413
 
26414
// atom is at LC8_D29
26415
flex10ke_lcell \regnum[7]~I (
26416
// Equation(s):
26417
// regnum[7] = DFFEA(spido_sync[1] & (spics_n_sync_2 # !spics_n_sync_1), GLOBAL(\fclk~dataout ), , , \regnum[7]~561 , , )
26418
 
26419
	.dataa(\regnum[7]~561 ),
26420
	.datab(spics_n_sync_1),
26421
	.datac(spido_sync[1]),
26422
	.datad(spics_n_sync_2),
26423
	.aclr(gnd),
26424
	.aload(gnd),
26425
	.clk(fclk),
26426
	.cin(gnd),
26427
	.cascin(vcc),
26428
	.devclrn(devclrn),
26429
	.devpor(devpor),
26430
	.combout(),
26431
	.regout(regnum[7]),
26432
	.cout(),
26433
	.cascout());
26434
// synopsys translate_off
26435
defparam \regnum[7]~I .clock_enable_mode = "true";
26436
defparam \regnum[7]~I .lut_mask = "f030";
26437
defparam \regnum[7]~I .operation_mode = "normal";
26438
defparam \regnum[7]~I .output_mode = "reg_only";
26439
defparam \regnum[7]~I .packed_mode = "false";
26440
// synopsys translate_on
26441
 
26442
// atom is at LC7_D29
26443
flex10ke_lcell \regnum[6]~I (
26444
// Equation(s):
26445
// regnum[6] = DFFEA(regnum[7] & (spics_n_sync_2 # !spics_n_sync_1), GLOBAL(\fclk~dataout ), , , \regnum[7]~561 , , )
26446
 
26447
	.dataa(\regnum[7]~561 ),
26448
	.datab(spics_n_sync_1),
26449
	.datac(regnum[7]),
26450
	.datad(spics_n_sync_2),
26451
	.aclr(gnd),
26452
	.aload(gnd),
26453
	.clk(fclk),
26454
	.cin(gnd),
26455
	.cascin(vcc),
26456
	.devclrn(devclrn),
26457
	.devpor(devpor),
26458
	.combout(),
26459
	.regout(regnum[6]),
26460
	.cout(),
26461
	.cascout());
26462
// synopsys translate_off
26463
defparam \regnum[6]~I .clock_enable_mode = "true";
26464
defparam \regnum[6]~I .lut_mask = "f030";
26465
defparam \regnum[6]~I .operation_mode = "normal";
26466
defparam \regnum[6]~I .output_mode = "reg_only";
26467
defparam \regnum[6]~I .packed_mode = "false";
26468
// synopsys translate_on
26469
 
26470
// atom is at LC2_D34
26471
flex10ke_lcell \regnum[5]~I (
26472
// Equation(s):
26473
// regnum[5] = DFFEA(regnum[6] & (spics_n_sync_2 # !spics_n_sync_1), GLOBAL(\fclk~dataout ), , , \regnum[7]~561 , , )
26474
 
26475
	.dataa(\regnum[7]~561 ),
26476
	.datab(spics_n_sync_1),
26477
	.datac(regnum[6]),
26478
	.datad(spics_n_sync_2),
26479
	.aclr(gnd),
26480
	.aload(gnd),
26481
	.clk(fclk),
26482
	.cin(gnd),
26483
	.cascin(vcc),
26484
	.devclrn(devclrn),
26485
	.devpor(devpor),
26486
	.combout(),
26487
	.regout(regnum[5]),
26488
	.cout(),
26489
	.cascout());
26490
// synopsys translate_off
26491
defparam \regnum[5]~I .clock_enable_mode = "true";
26492
defparam \regnum[5]~I .lut_mask = "f030";
26493
defparam \regnum[5]~I .operation_mode = "normal";
26494
defparam \regnum[5]~I .output_mode = "reg_only";
26495
defparam \regnum[5]~I .packed_mode = "false";
26496
// synopsys translate_on
26497
 
26498
// atom is at LC4_D29
26499
flex10ke_lcell \regnum[4]~I (
26500
// Equation(s):
26501
// regnum[4] = DFFEA(regnum[5] & (spics_n_sync_2 # !spics_n_sync_1), GLOBAL(\fclk~dataout ), , , \regnum[7]~561 , , )
26502
 
26503
	.dataa(\regnum[7]~561 ),
26504
	.datab(spics_n_sync_1),
26505
	.datac(regnum[5]),
26506
	.datad(spics_n_sync_2),
26507
	.aclr(gnd),
26508
	.aload(gnd),
26509
	.clk(fclk),
26510
	.cin(gnd),
26511
	.cascin(vcc),
26512
	.devclrn(devclrn),
26513
	.devpor(devpor),
26514
	.combout(),
26515
	.regout(regnum[4]),
26516
	.cout(),
26517
	.cascout());
26518
// synopsys translate_off
26519
defparam \regnum[4]~I .clock_enable_mode = "true";
26520
defparam \regnum[4]~I .lut_mask = "f030";
26521
defparam \regnum[4]~I .operation_mode = "normal";
26522
defparam \regnum[4]~I .output_mode = "reg_only";
26523
defparam \regnum[4]~I .packed_mode = "false";
26524
// synopsys translate_on
26525
 
26526
// atom is at LC3_D29
26527
flex10ke_lcell \regnum[3]~I (
26528
// Equation(s):
26529
// regnum[3] = DFFEA(regnum[4] & (spics_n_sync_2 # !spics_n_sync_1), GLOBAL(\fclk~dataout ), , , \regnum[7]~561 , , )
26530
 
26531
	.dataa(\regnum[7]~561 ),
26532
	.datab(spics_n_sync_1),
26533
	.datac(regnum[4]),
26534
	.datad(spics_n_sync_2),
26535
	.aclr(gnd),
26536
	.aload(gnd),
26537
	.clk(fclk),
26538
	.cin(gnd),
26539
	.cascin(vcc),
26540
	.devclrn(devclrn),
26541
	.devpor(devpor),
26542
	.combout(),
26543
	.regout(regnum[3]),
26544
	.cout(),
26545
	.cascout());
26546
// synopsys translate_off
26547
defparam \regnum[3]~I .clock_enable_mode = "true";
26548
defparam \regnum[3]~I .lut_mask = "f030";
26549
defparam \regnum[3]~I .operation_mode = "normal";
26550
defparam \regnum[3]~I .output_mode = "reg_only";
26551
defparam \regnum[3]~I .packed_mode = "false";
26552
// synopsys translate_on
26553
 
26554
// atom is at LC2_D33
26555
flex10ke_lcell \regnum[2]~I (
26556
// Equation(s):
26557
// regnum[2] = DFFEA(regnum[3] & (spics_n_sync_2 # !spics_n_sync_1), GLOBAL(\fclk~dataout ), , , \regnum[7]~561 , , )
26558
 
26559
	.dataa(\regnum[7]~561 ),
26560
	.datab(spics_n_sync_1),
26561
	.datac(regnum[3]),
26562
	.datad(spics_n_sync_2),
26563
	.aclr(gnd),
26564
	.aload(gnd),
26565
	.clk(fclk),
26566
	.cin(gnd),
26567
	.cascin(vcc),
26568
	.devclrn(devclrn),
26569
	.devpor(devpor),
26570
	.combout(),
26571
	.regout(regnum[2]),
26572
	.cout(),
26573
	.cascout());
26574
// synopsys translate_off
26575
defparam \regnum[2]~I .clock_enable_mode = "true";
26576
defparam \regnum[2]~I .lut_mask = "f030";
26577
defparam \regnum[2]~I .operation_mode = "normal";
26578
defparam \regnum[2]~I .output_mode = "reg_only";
26579
defparam \regnum[2]~I .packed_mode = "false";
26580
// synopsys translate_on
26581
 
26582
// atom is at LC1_D33
26583
flex10ke_lcell \regnum[1]~I (
26584
// Equation(s):
26585
// regnum[1] = DFFEA(regnum[2] & (spics_n_sync_2 # !spics_n_sync_1), GLOBAL(\fclk~dataout ), , , \regnum[7]~561 , , )
26586
 
26587
	.dataa(\regnum[7]~561 ),
26588
	.datab(spics_n_sync_1),
26589
	.datac(regnum[2]),
26590
	.datad(spics_n_sync_2),
26591
	.aclr(gnd),
26592
	.aload(gnd),
26593
	.clk(fclk),
26594
	.cin(gnd),
26595
	.cascin(vcc),
26596
	.devclrn(devclrn),
26597
	.devpor(devpor),
26598
	.combout(),
26599
	.regout(regnum[1]),
26600
	.cout(),
26601
	.cascout());
26602
// synopsys translate_off
26603
defparam \regnum[1]~I .clock_enable_mode = "true";
26604
defparam \regnum[1]~I .lut_mask = "f030";
26605
defparam \regnum[1]~I .operation_mode = "normal";
26606
defparam \regnum[1]~I .output_mode = "reg_only";
26607
defparam \regnum[1]~I .packed_mode = "false";
26608
// synopsys translate_on
26609
 
26610
// atom is at LC7_D33
26611
flex10ke_lcell \regnum[0]~I (
26612
// Equation(s):
26613
// regnum[0] = DFFEA(regnum[1] & (spics_n_sync_2 # !spics_n_sync_1), GLOBAL(\fclk~dataout ), , , \regnum[7]~561 , , )
26614
 
26615
	.dataa(\regnum[7]~561 ),
26616
	.datab(spics_n_sync_1),
26617
	.datac(regnum[1]),
26618
	.datad(spics_n_sync_2),
26619
	.aclr(gnd),
26620
	.aload(gnd),
26621
	.clk(fclk),
26622
	.cin(gnd),
26623
	.cascin(vcc),
26624
	.devclrn(devclrn),
26625
	.devpor(devpor),
26626
	.combout(),
26627
	.regout(regnum[0]),
26628
	.cout(),
26629
	.cascout());
26630
// synopsys translate_off
26631
defparam \regnum[0]~I .clock_enable_mode = "true";
26632
defparam \regnum[0]~I .lut_mask = "f030";
26633
defparam \regnum[0]~I .operation_mode = "normal";
26634
defparam \regnum[0]~I .output_mode = "reg_only";
26635
defparam \regnum[0]~I .packed_mode = "false";
26636
// synopsys translate_on
26637
 
26638
// atom is at LC2_D6
26639
flex10ke_lcell \shift_out~4963_I (
26640
// Equation(s):
26641
// \shift_out~4963  = regnum[0] & (regnum[1]) # !regnum[0] & (comport_addr_2 # !regnum[1]) # !\sel_comadr~29 
26642
 
26643
	.dataa(\sel_comadr~29 ),
26644
	.datab(regnum[0]),
26645
	.datac(comport_addr_2),
26646
	.datad(regnum[1]),
26647
	.aclr(gnd),
26648
	.aload(gnd),
26649
	.clk(gnd),
26650
	.cin(gnd),
26651
	.cascin(vcc),
26652
	.devclrn(devclrn),
26653
	.devpor(devpor),
26654
	.combout(\shift_out~4963 ),
26655
	.regout(),
26656
	.cout(),
26657
	.cascout());
26658
// synopsys translate_off
26659
defparam \shift_out~4963_I .clock_enable_mode = "false";
26660
defparam \shift_out~4963_I .lut_mask = "fd77";
26661
defparam \shift_out~4963_I .operation_mode = "normal";
26662
defparam \shift_out~4963_I .output_mode = "comb_only";
26663
defparam \shift_out~4963_I .packed_mode = "false";
26664
// synopsys translate_on
26665
 
26666
// atom is at LC1_D29
26667
flex10ke_lcell \sel_comadr~29_I (
26668
// Equation(s):
26669
// \sel_comadr~29  = !regnum[4] & !regnum[7] & !regnum[5] & regnum[6]
26670
 
26671
	.dataa(regnum[4]),
26672
	.datab(regnum[7]),
26673
	.datac(regnum[5]),
26674
	.datad(regnum[6]),
26675
	.aclr(gnd),
26676
	.aload(gnd),
26677
	.clk(gnd),
26678
	.cin(gnd),
26679
	.cascin(vcc),
26680
	.devclrn(devclrn),
26681
	.devpor(devpor),
26682
	.combout(\sel_comadr~29 ),
26683
	.regout(),
26684
	.cout(),
26685
	.cascout());
26686
// synopsys translate_off
26687
defparam \sel_comadr~29_I .clock_enable_mode = "false";
26688
defparam \sel_comadr~29_I .lut_mask = "0100";
26689
defparam \sel_comadr~29_I .operation_mode = "normal";
26690
defparam \sel_comadr~29_I .output_mode = "comb_only";
26691
defparam \sel_comadr~29_I .packed_mode = "false";
26692
// synopsys translate_on
26693
 
26694
// atom is at LC8_D25
26695
flex10ke_lcell \shift_out~4962_I (
26696
// Equation(s):
26697
// \shift_out~4962  = !regnum[1] & gluclock_addr_2 & \sel_comadr~29  & regnum[0]
26698
 
26699
	.dataa(regnum[1]),
26700
	.datab(gluclock_addr_2),
26701
	.datac(\sel_comadr~29 ),
26702
	.datad(regnum[0]),
26703
	.aclr(gnd),
26704
	.aload(gnd),
26705
	.clk(gnd),
26706
	.cin(gnd),
26707
	.cascin(vcc),
26708
	.devclrn(devclrn),
26709
	.devpor(devpor),
26710
	.combout(\shift_out~4962 ),
26711
	.regout(),
26712
	.cout(),
26713
	.cascout());
26714
// synopsys translate_off
26715
defparam \shift_out~4962_I .clock_enable_mode = "false";
26716
defparam \shift_out~4962_I .lut_mask = "4000";
26717
defparam \shift_out~4962_I .operation_mode = "normal";
26718
defparam \shift_out~4962_I .output_mode = "comb_only";
26719
defparam \shift_out~4962_I .packed_mode = "false";
26720
// synopsys translate_on
26721
 
26722
// atom is at LC4_D28
26723
flex10ke_lcell \shift_out~4964_I (
26724
// Equation(s):
26725
// \shift_out~4964  = sel_waitreg & (wait_write_2) # !sel_waitreg & (\shift_out~4963  # \shift_out~4962 )
26726
 
26727
	.dataa(sel_waitreg),
26728
	.datab(\shift_out~4963 ),
26729
	.datac(\shift_out~4962 ),
26730
	.datad(wait_write_2),
26731
	.aclr(gnd),
26732
	.aload(gnd),
26733
	.clk(gnd),
26734
	.cin(gnd),
26735
	.cascin(vcc),
26736
	.devclrn(devclrn),
26737
	.devpor(devpor),
26738
	.combout(\shift_out~4964 ),
26739
	.regout(),
26740
	.cout(),
26741
	.cascout());
26742
// synopsys translate_off
26743
defparam \shift_out~4964_I .clock_enable_mode = "false";
26744
defparam \shift_out~4964_I .lut_mask = "fe54";
26745
defparam \shift_out~4964_I .operation_mode = "normal";
26746
defparam \shift_out~4964_I .output_mode = "comb_only";
26747
defparam \shift_out~4964_I .packed_mode = "false";
26748
// synopsys translate_on
26749
 
26750
// atom is at LC3_D25
26751
flex10ke_lcell \shift_out~4967_I (
26752
// Equation(s):
26753
// \shift_out~4967  = regnum[1] & (regnum[0]) # !regnum[1] & (gluclock_addr_3 # !regnum[0]) # !\sel_comadr~29 
26754
 
26755
	.dataa(\sel_comadr~29 ),
26756
	.datab(regnum[1]),
26757
	.datac(gluclock_addr_3),
26758
	.datad(regnum[0]),
26759
	.aclr(gnd),
26760
	.aload(gnd),
26761
	.clk(gnd),
26762
	.cin(gnd),
26763
	.cascin(vcc),
26764
	.devclrn(devclrn),
26765
	.devpor(devpor),
26766
	.combout(\shift_out~4967 ),
26767
	.regout(),
26768
	.cout(),
26769
	.cascout());
26770
// synopsys translate_off
26771
defparam \shift_out~4967_I .clock_enable_mode = "false";
26772
defparam \shift_out~4967_I .lut_mask = "fd77";
26773
defparam \shift_out~4967_I .operation_mode = "normal";
26774
defparam \shift_out~4967_I .output_mode = "comb_only";
26775
defparam \shift_out~4967_I .packed_mode = "false";
26776
// synopsys translate_on
26777
 
26778
// atom is at LC7_D28
26779
flex10ke_lcell \shift_out~4968_I (
26780
// Equation(s):
26781
// \shift_out~4968  = sel_waitreg & (wait_write_3) # !sel_waitreg & \shift_out~4967 
26782
 
26783
	.dataa(vcc),
26784
	.datab(sel_waitreg),
26785
	.datac(\shift_out~4967 ),
26786
	.datad(wait_write_3),
26787
	.aclr(gnd),
26788
	.aload(gnd),
26789
	.clk(gnd),
26790
	.cin(gnd),
26791
	.cascin(vcc),
26792
	.devclrn(devclrn),
26793
	.devpor(devpor),
26794
	.combout(\shift_out~4968 ),
26795
	.regout(),
26796
	.cout(),
26797
	.cascout());
26798
// synopsys translate_off
26799
defparam \shift_out~4968_I .clock_enable_mode = "false";
26800
defparam \shift_out~4968_I .lut_mask = "fc30";
26801
defparam \shift_out~4968_I .operation_mode = "normal";
26802
defparam \shift_out~4968_I .output_mode = "comb_only";
26803
defparam \shift_out~4968_I .packed_mode = "false";
26804
// synopsys translate_on
26805
 
26806
// atom is at LC5_D30
26807
flex10ke_lcell \shift_out~4971_I (
26808
// Equation(s):
26809
// \shift_out~4971  = regnum[1] & (regnum[0]) # !regnum[1] & (gluclock_addr_4 # !regnum[0]) # !\sel_comadr~29 
26810
 
26811
	.dataa(\sel_comadr~29 ),
26812
	.datab(regnum[1]),
26813
	.datac(gluclock_addr_4),
26814
	.datad(regnum[0]),
26815
	.aclr(gnd),
26816
	.aload(gnd),
26817
	.clk(gnd),
26818
	.cin(gnd),
26819
	.cascin(vcc),
26820
	.devclrn(devclrn),
26821
	.devpor(devpor),
26822
	.combout(\shift_out~4971 ),
26823
	.regout(),
26824
	.cout(),
26825
	.cascout());
26826
// synopsys translate_off
26827
defparam \shift_out~4971_I .clock_enable_mode = "false";
26828
defparam \shift_out~4971_I .lut_mask = "fd77";
26829
defparam \shift_out~4971_I .operation_mode = "normal";
26830
defparam \shift_out~4971_I .output_mode = "comb_only";
26831
defparam \shift_out~4971_I .packed_mode = "false";
26832
// synopsys translate_on
26833
 
26834
// atom is at LC1_D30
26835
flex10ke_lcell \shift_out~4972_I (
26836
// Equation(s):
26837
// \shift_out~4972  = sel_waitreg & (wait_write_4) # !sel_waitreg & \shift_out~4971 
26838
 
26839
	.dataa(vcc),
26840
	.datab(sel_waitreg),
26841
	.datac(\shift_out~4971 ),
26842
	.datad(wait_write_4),
26843
	.aclr(gnd),
26844
	.aload(gnd),
26845
	.clk(gnd),
26846
	.cin(gnd),
26847
	.cascin(vcc),
26848
	.devclrn(devclrn),
26849
	.devpor(devpor),
26850
	.combout(\shift_out~4972 ),
26851
	.regout(),
26852
	.cout(),
26853
	.cascout());
26854
// synopsys translate_off
26855
defparam \shift_out~4972_I .clock_enable_mode = "false";
26856
defparam \shift_out~4972_I .lut_mask = "fc30";
26857
defparam \shift_out~4972_I .operation_mode = "normal";
26858
defparam \shift_out~4972_I .output_mode = "comb_only";
26859
defparam \shift_out~4972_I .packed_mode = "false";
26860
// synopsys translate_on
26861
 
26862
// atom is at LC8_D30
26863
flex10ke_lcell \shift_out~4975_I (
26864
// Equation(s):
26865
// \shift_out~4975  = regnum[1] & (regnum[0]) # !regnum[1] & (gluclock_addr_5 # !regnum[0]) # !\sel_comadr~29 
26866
 
26867
	.dataa(\sel_comadr~29 ),
26868
	.datab(regnum[1]),
26869
	.datac(gluclock_addr_5),
26870
	.datad(regnum[0]),
26871
	.aclr(gnd),
26872
	.aload(gnd),
26873
	.clk(gnd),
26874
	.cin(gnd),
26875
	.cascin(vcc),
26876
	.devclrn(devclrn),
26877
	.devpor(devpor),
26878
	.combout(\shift_out~4975 ),
26879
	.regout(),
26880
	.cout(),
26881
	.cascout());
26882
// synopsys translate_off
26883
defparam \shift_out~4975_I .clock_enable_mode = "false";
26884
defparam \shift_out~4975_I .lut_mask = "fd77";
26885
defparam \shift_out~4975_I .operation_mode = "normal";
26886
defparam \shift_out~4975_I .output_mode = "comb_only";
26887
defparam \shift_out~4975_I .packed_mode = "false";
26888
// synopsys translate_on
26889
 
26890
// atom is at LC2_D30
26891
flex10ke_lcell \shift_out~4976_I (
26892
// Equation(s):
26893
// \shift_out~4976  = sel_waitreg & (wait_write_5) # !sel_waitreg & \shift_out~4975 
26894
 
26895
	.dataa(vcc),
26896
	.datab(sel_waitreg),
26897
	.datac(\shift_out~4975 ),
26898
	.datad(wait_write_5),
26899
	.aclr(gnd),
26900
	.aload(gnd),
26901
	.clk(gnd),
26902
	.cin(gnd),
26903
	.cascin(vcc),
26904
	.devclrn(devclrn),
26905
	.devpor(devpor),
26906
	.combout(\shift_out~4976 ),
26907
	.regout(),
26908
	.cout(),
26909
	.cascout());
26910
// synopsys translate_off
26911
defparam \shift_out~4976_I .clock_enable_mode = "false";
26912
defparam \shift_out~4976_I .lut_mask = "fc30";
26913
defparam \shift_out~4976_I .operation_mode = "normal";
26914
defparam \shift_out~4976_I .output_mode = "comb_only";
26915
defparam \shift_out~4976_I .packed_mode = "false";
26916
// synopsys translate_on
26917
 
26918
// atom is at LC2_D25
26919
flex10ke_lcell \shift_out~4979_I (
26920
// Equation(s):
26921
// \shift_out~4979  = regnum[1] & (regnum[0]) # !regnum[1] & (gluclock_addr_6 # !regnum[0]) # !\sel_comadr~29 
26922
 
26923
	.dataa(\sel_comadr~29 ),
26924
	.datab(regnum[1]),
26925
	.datac(gluclock_addr_6),
26926
	.datad(regnum[0]),
26927
	.aclr(gnd),
26928
	.aload(gnd),
26929
	.clk(gnd),
26930
	.cin(gnd),
26931
	.cascin(vcc),
26932
	.devclrn(devclrn),
26933
	.devpor(devpor),
26934
	.combout(\shift_out~4979 ),
26935
	.regout(),
26936
	.cout(),
26937
	.cascout());
26938
// synopsys translate_off
26939
defparam \shift_out~4979_I .clock_enable_mode = "false";
26940
defparam \shift_out~4979_I .lut_mask = "fd77";
26941
defparam \shift_out~4979_I .operation_mode = "normal";
26942
defparam \shift_out~4979_I .output_mode = "comb_only";
26943
defparam \shift_out~4979_I .packed_mode = "false";
26944
// synopsys translate_on
26945
 
26946
// atom is at LC4_D14
26947
flex10ke_lcell \shift_out~4980_I (
26948
// Equation(s):
26949
// \shift_out~4980  = sel_waitreg & (wait_write_6) # !sel_waitreg & \shift_out~4979 
26950
 
26951
	.dataa(vcc),
26952
	.datab(sel_waitreg),
26953
	.datac(\shift_out~4979 ),
26954
	.datad(wait_write_6),
26955
	.aclr(gnd),
26956
	.aload(gnd),
26957
	.clk(gnd),
26958
	.cin(gnd),
26959
	.cascin(vcc),
26960
	.devclrn(devclrn),
26961
	.devpor(devpor),
26962
	.combout(\shift_out~4980 ),
26963
	.regout(),
26964
	.cout(),
26965
	.cascout());
26966
// synopsys translate_off
26967
defparam \shift_out~4980_I .clock_enable_mode = "false";
26968
defparam \shift_out~4980_I .lut_mask = "fc30";
26969
defparam \shift_out~4980_I .operation_mode = "normal";
26970
defparam \shift_out~4980_I .output_mode = "comb_only";
26971
defparam \shift_out~4980_I .packed_mode = "false";
26972
// synopsys translate_on
26973
 
26974
// atom is at LC2_D1
26975
flex10ke_lcell \shift_out[7]~4984_I (
26976
// Equation(s):
26977
// \shift_out[7]~4984  = regnum[1] & (regnum[0]) # !regnum[1] & (gluclock_addr_7 # !regnum[0]) # !\sel_comadr~29 
26978
 
26979
	.dataa(\sel_comadr~29 ),
26980
	.datab(regnum[1]),
26981
	.datac(gluclock_addr_7),
26982
	.datad(regnum[0]),
26983
	.aclr(gnd),
26984
	.aload(gnd),
26985
	.clk(gnd),
26986
	.cin(gnd),
26987
	.cascin(vcc),
26988
	.devclrn(devclrn),
26989
	.devpor(devpor),
26990
	.combout(\shift_out[7]~4984 ),
26991
	.regout(),
26992
	.cout(),
26993
	.cascout());
26994
// synopsys translate_off
26995
defparam \shift_out[7]~4984_I .clock_enable_mode = "false";
26996
defparam \shift_out[7]~4984_I .lut_mask = "fd77";
26997
defparam \shift_out[7]~4984_I .operation_mode = "normal";
26998
defparam \shift_out[7]~4984_I .output_mode = "comb_only";
26999
defparam \shift_out[7]~4984_I .packed_mode = "false";
27000
// synopsys translate_on
27001
 
27002
// atom is at LC7_D14
27003
flex10ke_lcell \shift_out[7]~4985_I (
27004
// Equation(s):
27005
// \shift_out[7]~4985  = sel_waitreg & (wait_write_7) # !sel_waitreg & \shift_out[7]~4984 
27006
 
27007
	.dataa(vcc),
27008
	.datab(sel_waitreg),
27009
	.datac(\shift_out[7]~4984 ),
27010
	.datad(wait_write_7),
27011
	.aclr(gnd),
27012
	.aload(gnd),
27013
	.clk(gnd),
27014
	.cin(gnd),
27015
	.cascin(vcc),
27016
	.devclrn(devclrn),
27017
	.devpor(devpor),
27018
	.combout(\shift_out[7]~4985 ),
27019
	.regout(),
27020
	.cout(),
27021
	.cascout());
27022
// synopsys translate_off
27023
defparam \shift_out[7]~4985_I .clock_enable_mode = "false";
27024
defparam \shift_out[7]~4985_I .lut_mask = "fc30";
27025
defparam \shift_out[7]~4985_I .operation_mode = "normal";
27026
defparam \shift_out[7]~4985_I .output_mode = "comb_only";
27027
defparam \shift_out[7]~4985_I .packed_mode = "false";
27028
// synopsys translate_on
27029
 
27030
// atom is at LC8_D14
27031
flex10ke_lcell \shift_out[7]~4986_I (
27032
// Equation(s):
27033
// \shift_out[7]~4986  = spics_n_sync_2 & !spics_n_sync_1 & (\shift_out[7]~4985 ) # !spics_n_sync_2 & spics_n_sync_1 & wait_rnw
27034
 
27035
	.dataa(spics_n_sync_2),
27036
	.datab(spics_n_sync_1),
27037
	.datac(wait_rnw),
27038
	.datad(\shift_out[7]~4985 ),
27039
	.aclr(gnd),
27040
	.aload(gnd),
27041
	.clk(gnd),
27042
	.cin(gnd),
27043
	.cascin(vcc),
27044
	.devclrn(devclrn),
27045
	.devpor(devpor),
27046
	.combout(\shift_out[7]~4986 ),
27047
	.regout(),
27048
	.cout(),
27049
	.cascout());
27050
// synopsys translate_off
27051
defparam \shift_out[7]~4986_I .clock_enable_mode = "false";
27052
defparam \shift_out[7]~4986_I .lut_mask = "6240";
27053
defparam \shift_out[7]~4986_I .operation_mode = "normal";
27054
defparam \shift_out[7]~4986_I .output_mode = "comb_only";
27055
defparam \shift_out[7]~4986_I .packed_mode = "false";
27056
// synopsys translate_on
27057
 
27058
// atom is at LC1_D14
27059
flex10ke_lcell \shift_out[7]~I (
27060
// Equation(s):
27061
// shift_out[7] = DFFEA(\shift_out[7]~4986 , GLOBAL(\fclk~dataout ), , , \shift_out[7]~4987 , , )
27062
 
27063
	.dataa(\shift_out[7]~4987 ),
27064
	.datab(vcc),
27065
	.datac(vcc),
27066
	.datad(\shift_out[7]~4986 ),
27067
	.aclr(gnd),
27068
	.aload(gnd),
27069
	.clk(fclk),
27070
	.cin(gnd),
27071
	.cascin(vcc),
27072
	.devclrn(devclrn),
27073
	.devpor(devpor),
27074
	.combout(),
27075
	.regout(shift_out[7]),
27076
	.cout(),
27077
	.cascout());
27078
// synopsys translate_off
27079
defparam \shift_out[7]~I .clock_enable_mode = "true";
27080
defparam \shift_out[7]~I .lut_mask = "ff00";
27081
defparam \shift_out[7]~I .operation_mode = "normal";
27082
defparam \shift_out[7]~I .output_mode = "reg_only";
27083
defparam \shift_out[7]~I .packed_mode = "false";
27084
// synopsys translate_on
27085
 
27086
// atom is at LC2_D14
27087
flex10ke_lcell \shift_out~4978_I (
27088
// Equation(s):
27089
// \shift_out~4978  = spick_sync[2] & (shift_out[6]) # !spick_sync[2] & (spick_sync[1] & shift_out[7] # !spick_sync[1] & (shift_out[6]))
27090
 
27091
	.dataa(spick_sync[2]),
27092
	.datab(spick_sync[1]),
27093
	.datac(shift_out[7]),
27094
	.datad(shift_out[6]),
27095
	.aclr(gnd),
27096
	.aload(gnd),
27097
	.clk(gnd),
27098
	.cin(gnd),
27099
	.cascin(vcc),
27100
	.devclrn(devclrn),
27101
	.devpor(devpor),
27102
	.combout(\shift_out~4978 ),
27103
	.regout(),
27104
	.cout(),
27105
	.cascout());
27106
// synopsys translate_off
27107
defparam \shift_out~4978_I .clock_enable_mode = "false";
27108
defparam \shift_out~4978_I .lut_mask = "fb40";
27109
defparam \shift_out~4978_I .operation_mode = "normal";
27110
defparam \shift_out~4978_I .output_mode = "comb_only";
27111
defparam \shift_out~4978_I .packed_mode = "false";
27112
// synopsys translate_on
27113
 
27114
// atom is at LC5_D14
27115
flex10ke_lcell \shift_out[6]~I (
27116
// Equation(s):
27117
// shift_out[6] = DFFEA(spics_n_sync_1 & (spics_n_sync_2 & \shift_out~4978 ) # !spics_n_sync_1 & (spics_n_sync_2 & \shift_out~4980  # !spics_n_sync_2 & (\shift_out~4978 )), GLOBAL(\fclk~dataout ), , , , , )
27118
 
27119
	.dataa(spics_n_sync_1),
27120
	.datab(\shift_out~4980 ),
27121
	.datac(spics_n_sync_2),
27122
	.datad(\shift_out~4978 ),
27123
	.aclr(gnd),
27124
	.aload(gnd),
27125
	.clk(fclk),
27126
	.cin(gnd),
27127
	.cascin(vcc),
27128
	.devclrn(devclrn),
27129
	.devpor(devpor),
27130
	.combout(),
27131
	.regout(shift_out[6]),
27132
	.cout(),
27133
	.cascout());
27134
// synopsys translate_off
27135
defparam \shift_out[6]~I .clock_enable_mode = "false";
27136
defparam \shift_out[6]~I .lut_mask = "e540";
27137
defparam \shift_out[6]~I .operation_mode = "normal";
27138
defparam \shift_out[6]~I .output_mode = "reg_only";
27139
defparam \shift_out[6]~I .packed_mode = "false";
27140
// synopsys translate_on
27141
 
27142
// atom is at LC4_D36
27143
flex10ke_lcell \shift_out~4974_I (
27144
// Equation(s):
27145
// \shift_out~4974  = spick_sync[2] & (shift_out[5]) # !spick_sync[2] & (spick_sync[1] & shift_out[6] # !spick_sync[1] & (shift_out[5]))
27146
 
27147
	.dataa(spick_sync[2]),
27148
	.datab(spick_sync[1]),
27149
	.datac(shift_out[6]),
27150
	.datad(shift_out[5]),
27151
	.aclr(gnd),
27152
	.aload(gnd),
27153
	.clk(gnd),
27154
	.cin(gnd),
27155
	.cascin(vcc),
27156
	.devclrn(devclrn),
27157
	.devpor(devpor),
27158
	.combout(\shift_out~4974 ),
27159
	.regout(),
27160
	.cout(),
27161
	.cascout());
27162
// synopsys translate_off
27163
defparam \shift_out~4974_I .clock_enable_mode = "false";
27164
defparam \shift_out~4974_I .lut_mask = "fb40";
27165
defparam \shift_out~4974_I .operation_mode = "normal";
27166
defparam \shift_out~4974_I .output_mode = "comb_only";
27167
defparam \shift_out~4974_I .packed_mode = "false";
27168
// synopsys translate_on
27169
 
27170
// atom is at LC1_D36
27171
flex10ke_lcell \shift_out[5]~I (
27172
// Equation(s):
27173
// shift_out[5] = DFFEA(spics_n_sync_1 & (spics_n_sync_2 & \shift_out~4974 ) # !spics_n_sync_1 & (spics_n_sync_2 & \shift_out~4976  # !spics_n_sync_2 & (\shift_out~4974 )), GLOBAL(\fclk~dataout ), , , , , )
27174
 
27175
	.dataa(spics_n_sync_1),
27176
	.datab(\shift_out~4976 ),
27177
	.datac(spics_n_sync_2),
27178
	.datad(\shift_out~4974 ),
27179
	.aclr(gnd),
27180
	.aload(gnd),
27181
	.clk(fclk),
27182
	.cin(gnd),
27183
	.cascin(vcc),
27184
	.devclrn(devclrn),
27185
	.devpor(devpor),
27186
	.combout(),
27187
	.regout(shift_out[5]),
27188
	.cout(),
27189
	.cascout());
27190
// synopsys translate_off
27191
defparam \shift_out[5]~I .clock_enable_mode = "false";
27192
defparam \shift_out[5]~I .lut_mask = "e540";
27193
defparam \shift_out[5]~I .operation_mode = "normal";
27194
defparam \shift_out[5]~I .output_mode = "reg_only";
27195
defparam \shift_out[5]~I .packed_mode = "false";
27196
// synopsys translate_on
27197
 
27198
// atom is at LC3_D36
27199
flex10ke_lcell \shift_out~4970_I (
27200
// Equation(s):
27201
// \shift_out~4970  = spick_sync[2] & (shift_out[4]) # !spick_sync[2] & (spick_sync[1] & shift_out[5] # !spick_sync[1] & (shift_out[4]))
27202
 
27203
	.dataa(spick_sync[2]),
27204
	.datab(spick_sync[1]),
27205
	.datac(shift_out[5]),
27206
	.datad(shift_out[4]),
27207
	.aclr(gnd),
27208
	.aload(gnd),
27209
	.clk(gnd),
27210
	.cin(gnd),
27211
	.cascin(vcc),
27212
	.devclrn(devclrn),
27213
	.devpor(devpor),
27214
	.combout(\shift_out~4970 ),
27215
	.regout(),
27216
	.cout(),
27217
	.cascout());
27218
// synopsys translate_off
27219
defparam \shift_out~4970_I .clock_enable_mode = "false";
27220
defparam \shift_out~4970_I .lut_mask = "fb40";
27221
defparam \shift_out~4970_I .operation_mode = "normal";
27222
defparam \shift_out~4970_I .output_mode = "comb_only";
27223
defparam \shift_out~4970_I .packed_mode = "false";
27224
// synopsys translate_on
27225
 
27226
// atom is at LC7_D36
27227
flex10ke_lcell \shift_out[4]~I (
27228
// Equation(s):
27229
// shift_out[4] = DFFEA(spics_n_sync_1 & (spics_n_sync_2 & \shift_out~4970 ) # !spics_n_sync_1 & (spics_n_sync_2 & \shift_out~4972  # !spics_n_sync_2 & (\shift_out~4970 )), GLOBAL(\fclk~dataout ), , , , , )
27230
 
27231
	.dataa(spics_n_sync_1),
27232
	.datab(\shift_out~4972 ),
27233
	.datac(spics_n_sync_2),
27234
	.datad(\shift_out~4970 ),
27235
	.aclr(gnd),
27236
	.aload(gnd),
27237
	.clk(fclk),
27238
	.cin(gnd),
27239
	.cascin(vcc),
27240
	.devclrn(devclrn),
27241
	.devpor(devpor),
27242
	.combout(),
27243
	.regout(shift_out[4]),
27244
	.cout(),
27245
	.cascout());
27246
// synopsys translate_off
27247
defparam \shift_out[4]~I .clock_enable_mode = "false";
27248
defparam \shift_out[4]~I .lut_mask = "e540";
27249
defparam \shift_out[4]~I .operation_mode = "normal";
27250
defparam \shift_out[4]~I .output_mode = "reg_only";
27251
defparam \shift_out[4]~I .packed_mode = "false";
27252
// synopsys translate_on
27253
 
27254
// atom is at LC5_D28
27255
flex10ke_lcell \shift_out~4966_I (
27256
// Equation(s):
27257
// \shift_out~4966  = spick_sync[2] & (shift_out[3]) # !spick_sync[2] & (spick_sync[1] & shift_out[4] # !spick_sync[1] & (shift_out[3]))
27258
 
27259
	.dataa(spick_sync[2]),
27260
	.datab(spick_sync[1]),
27261
	.datac(shift_out[4]),
27262
	.datad(shift_out[3]),
27263
	.aclr(gnd),
27264
	.aload(gnd),
27265
	.clk(gnd),
27266
	.cin(gnd),
27267
	.cascin(vcc),
27268
	.devclrn(devclrn),
27269
	.devpor(devpor),
27270
	.combout(\shift_out~4966 ),
27271
	.regout(),
27272
	.cout(),
27273
	.cascout());
27274
// synopsys translate_off
27275
defparam \shift_out~4966_I .clock_enable_mode = "false";
27276
defparam \shift_out~4966_I .lut_mask = "fb40";
27277
defparam \shift_out~4966_I .operation_mode = "normal";
27278
defparam \shift_out~4966_I .output_mode = "comb_only";
27279
defparam \shift_out~4966_I .packed_mode = "false";
27280
// synopsys translate_on
27281
 
27282
// atom is at LC1_D28
27283
flex10ke_lcell \shift_out[3]~I (
27284
// Equation(s):
27285
// shift_out[3] = DFFEA(spics_n_sync_1 & (spics_n_sync_2 & \shift_out~4966 ) # !spics_n_sync_1 & (spics_n_sync_2 & \shift_out~4968  # !spics_n_sync_2 & (\shift_out~4966 )), GLOBAL(\fclk~dataout ), , , , , )
27286
 
27287
	.dataa(spics_n_sync_1),
27288
	.datab(\shift_out~4968 ),
27289
	.datac(spics_n_sync_2),
27290
	.datad(\shift_out~4966 ),
27291
	.aclr(gnd),
27292
	.aload(gnd),
27293
	.clk(fclk),
27294
	.cin(gnd),
27295
	.cascin(vcc),
27296
	.devclrn(devclrn),
27297
	.devpor(devpor),
27298
	.combout(),
27299
	.regout(shift_out[3]),
27300
	.cout(),
27301
	.cascout());
27302
// synopsys translate_off
27303
defparam \shift_out[3]~I .clock_enable_mode = "false";
27304
defparam \shift_out[3]~I .lut_mask = "e540";
27305
defparam \shift_out[3]~I .operation_mode = "normal";
27306
defparam \shift_out[3]~I .output_mode = "reg_only";
27307
defparam \shift_out[3]~I .packed_mode = "false";
27308
// synopsys translate_on
27309
 
27310
// atom is at LC2_D28
27311
flex10ke_lcell \shift_out~4961_I (
27312
// Equation(s):
27313
// \shift_out~4961  = spick_sync[2] & (shift_out[2]) # !spick_sync[2] & (spick_sync[1] & shift_out[3] # !spick_sync[1] & (shift_out[2]))
27314
 
27315
	.dataa(spick_sync[2]),
27316
	.datab(spick_sync[1]),
27317
	.datac(shift_out[3]),
27318
	.datad(shift_out[2]),
27319
	.aclr(gnd),
27320
	.aload(gnd),
27321
	.clk(gnd),
27322
	.cin(gnd),
27323
	.cascin(vcc),
27324
	.devclrn(devclrn),
27325
	.devpor(devpor),
27326
	.combout(\shift_out~4961 ),
27327
	.regout(),
27328
	.cout(),
27329
	.cascout());
27330
// synopsys translate_off
27331
defparam \shift_out~4961_I .clock_enable_mode = "false";
27332
defparam \shift_out~4961_I .lut_mask = "fb40";
27333
defparam \shift_out~4961_I .operation_mode = "normal";
27334
defparam \shift_out~4961_I .output_mode = "comb_only";
27335
defparam \shift_out~4961_I .packed_mode = "false";
27336
// synopsys translate_on
27337
 
27338
// atom is at LC8_D28
27339
flex10ke_lcell \shift_out[2]~I (
27340
// Equation(s):
27341
// shift_out[2] = DFFEA(spics_n_sync_1 & (spics_n_sync_2 & \shift_out~4961 ) # !spics_n_sync_1 & (spics_n_sync_2 & \shift_out~4964  # !spics_n_sync_2 & (\shift_out~4961 )), GLOBAL(\fclk~dataout ), , , , , )
27342
 
27343
	.dataa(spics_n_sync_1),
27344
	.datab(\shift_out~4964 ),
27345
	.datac(spics_n_sync_2),
27346
	.datad(\shift_out~4961 ),
27347
	.aclr(gnd),
27348
	.aload(gnd),
27349
	.clk(fclk),
27350
	.cin(gnd),
27351
	.cascin(vcc),
27352
	.devclrn(devclrn),
27353
	.devpor(devpor),
27354
	.combout(),
27355
	.regout(shift_out[2]),
27356
	.cout(),
27357
	.cascout());
27358
// synopsys translate_off
27359
defparam \shift_out[2]~I .clock_enable_mode = "false";
27360
defparam \shift_out[2]~I .lut_mask = "e540";
27361
defparam \shift_out[2]~I .operation_mode = "normal";
27362
defparam \shift_out[2]~I .output_mode = "reg_only";
27363
defparam \shift_out[2]~I .packed_mode = "false";
27364
// synopsys translate_on
27365
 
27366
// atom is at LC8_D2
27367
flex10ke_lcell \shift_out~4959_I (
27368
// Equation(s):
27369
// \shift_out~4959  = spick_sync[2] & (shift_out[1]) # !spick_sync[2] & (spick_sync[1] & shift_out[2] # !spick_sync[1] & (shift_out[1]))
27370
 
27371
	.dataa(spick_sync[2]),
27372
	.datab(spick_sync[1]),
27373
	.datac(shift_out[2]),
27374
	.datad(shift_out[1]),
27375
	.aclr(gnd),
27376
	.aload(gnd),
27377
	.clk(gnd),
27378
	.cin(gnd),
27379
	.cascin(vcc),
27380
	.devclrn(devclrn),
27381
	.devpor(devpor),
27382
	.combout(\shift_out~4959 ),
27383
	.regout(),
27384
	.cout(),
27385
	.cascout());
27386
// synopsys translate_off
27387
defparam \shift_out~4959_I .clock_enable_mode = "false";
27388
defparam \shift_out~4959_I .lut_mask = "fb40";
27389
defparam \shift_out~4959_I .operation_mode = "normal";
27390
defparam \shift_out~4959_I .output_mode = "comb_only";
27391
defparam \shift_out~4959_I .packed_mode = "false";
27392
// synopsys translate_on
27393
 
27394
// atom is at LC7_D6
27395
flex10ke_lcell \shift_out~4956_I (
27396
// Equation(s):
27397
// \shift_out~4956  = regnum[0] & (regnum[1]) # !regnum[0] & (comport_addr_1 # !regnum[1]) # !\sel_comadr~29 
27398
 
27399
	.dataa(\sel_comadr~29 ),
27400
	.datab(regnum[0]),
27401
	.datac(comport_addr_1),
27402
	.datad(regnum[1]),
27403
	.aclr(gnd),
27404
	.aload(gnd),
27405
	.clk(gnd),
27406
	.cin(gnd),
27407
	.cascin(vcc),
27408
	.devclrn(devclrn),
27409
	.devpor(devpor),
27410
	.combout(\shift_out~4956 ),
27411
	.regout(),
27412
	.cout(),
27413
	.cascout());
27414
// synopsys translate_off
27415
defparam \shift_out~4956_I .clock_enable_mode = "false";
27416
defparam \shift_out~4956_I .lut_mask = "fd77";
27417
defparam \shift_out~4956_I .operation_mode = "normal";
27418
defparam \shift_out~4956_I .output_mode = "comb_only";
27419
defparam \shift_out~4956_I .packed_mode = "false";
27420
// synopsys translate_on
27421
 
27422
// atom is at LC5_D6
27423
flex10ke_lcell \shift_out~4955_I (
27424
// Equation(s):
27425
// \shift_out~4955  = !regnum[1] & gluclock_addr_1 & \sel_comadr~29  & regnum[0]
27426
 
27427
	.dataa(regnum[1]),
27428
	.datab(gluclock_addr_1),
27429
	.datac(\sel_comadr~29 ),
27430
	.datad(regnum[0]),
27431
	.aclr(gnd),
27432
	.aload(gnd),
27433
	.clk(gnd),
27434
	.cin(gnd),
27435
	.cascin(vcc),
27436
	.devclrn(devclrn),
27437
	.devpor(devpor),
27438
	.combout(\shift_out~4955 ),
27439
	.regout(),
27440
	.cout(),
27441
	.cascout());
27442
// synopsys translate_off
27443
defparam \shift_out~4955_I .clock_enable_mode = "false";
27444
defparam \shift_out~4955_I .lut_mask = "4000";
27445
defparam \shift_out~4955_I .operation_mode = "normal";
27446
defparam \shift_out~4955_I .output_mode = "comb_only";
27447
defparam \shift_out~4955_I .packed_mode = "false";
27448
// synopsys translate_on
27449
 
27450
// atom is at LC1_D6
27451
flex10ke_lcell \shift_out~4957_I (
27452
// Equation(s):
27453
// \shift_out~4957  = sel_waitreg & (wait_write_1) # !sel_waitreg & (\shift_out~4956  # \shift_out~4955 )
27454
 
27455
	.dataa(sel_waitreg),
27456
	.datab(\shift_out~4956 ),
27457
	.datac(\shift_out~4955 ),
27458
	.datad(wait_write_1),
27459
	.aclr(gnd),
27460
	.aload(gnd),
27461
	.clk(gnd),
27462
	.cin(gnd),
27463
	.cascin(vcc),
27464
	.devclrn(devclrn),
27465
	.devpor(devpor),
27466
	.combout(\shift_out~4957 ),
27467
	.regout(),
27468
	.cout(),
27469
	.cascout());
27470
// synopsys translate_off
27471
defparam \shift_out~4957_I .clock_enable_mode = "false";
27472
defparam \shift_out~4957_I .lut_mask = "fe54";
27473
defparam \shift_out~4957_I .operation_mode = "normal";
27474
defparam \shift_out~4957_I .output_mode = "comb_only";
27475
defparam \shift_out~4957_I .packed_mode = "false";
27476
// synopsys translate_on
27477
 
27478
// atom is at LC7_D2
27479
flex10ke_lcell \shift_out~4958_I (
27480
// Equation(s):
27481
// \shift_out~4958  = spics_n_sync_2 & !spics_n_sync_1 & (\shift_out~4957 ) # !spics_n_sync_2 & spics_n_sync_1 & waits_1
27482
 
27483
	.dataa(spics_n_sync_2),
27484
	.datab(spics_n_sync_1),
27485
	.datac(waits_1),
27486
	.datad(\shift_out~4957 ),
27487
	.aclr(gnd),
27488
	.aload(gnd),
27489
	.clk(gnd),
27490
	.cin(gnd),
27491
	.cascin(vcc),
27492
	.devclrn(devclrn),
27493
	.devpor(devpor),
27494
	.combout(\shift_out~4958 ),
27495
	.regout(),
27496
	.cout(),
27497
	.cascout());
27498
// synopsys translate_off
27499
defparam \shift_out~4958_I .clock_enable_mode = "false";
27500
defparam \shift_out~4958_I .lut_mask = "6240";
27501
defparam \shift_out~4958_I .operation_mode = "normal";
27502
defparam \shift_out~4958_I .output_mode = "comb_only";
27503
defparam \shift_out~4958_I .packed_mode = "false";
27504
// synopsys translate_on
27505
 
27506
// atom is at LC5_D2
27507
flex10ke_lcell \shift_out[1]~I (
27508
// Equation(s):
27509
// shift_out[1] = DFFEA(\shift_out~4958  # \shift_out~4959  & (spics_n_sync_2 $ !spics_n_sync_1), GLOBAL(\fclk~dataout ), , , , , )
27510
 
27511
	.dataa(spics_n_sync_2),
27512
	.datab(spics_n_sync_1),
27513
	.datac(\shift_out~4959 ),
27514
	.datad(\shift_out~4958 ),
27515
	.aclr(gnd),
27516
	.aload(gnd),
27517
	.clk(fclk),
27518
	.cin(gnd),
27519
	.cascin(vcc),
27520
	.devclrn(devclrn),
27521
	.devpor(devpor),
27522
	.combout(),
27523
	.regout(shift_out[1]),
27524
	.cout(),
27525
	.cascout());
27526
// synopsys translate_off
27527
defparam \shift_out[1]~I .clock_enable_mode = "false";
27528
defparam \shift_out[1]~I .lut_mask = "ff90";
27529
defparam \shift_out[1]~I .operation_mode = "normal";
27530
defparam \shift_out[1]~I .output_mode = "reg_only";
27531
defparam \shift_out[1]~I .packed_mode = "false";
27532
// synopsys translate_on
27533
 
27534
// atom is at LC6_D2
27535
flex10ke_lcell \shift_out~4953_I (
27536
// Equation(s):
27537
// \shift_out~4953  = spick_sync[2] & (shift_out_0) # !spick_sync[2] & (spick_sync[1] & shift_out[1] # !spick_sync[1] & (shift_out_0))
27538
 
27539
	.dataa(spick_sync[2]),
27540
	.datab(spick_sync[1]),
27541
	.datac(shift_out[1]),
27542
	.datad(shift_out_0),
27543
	.aclr(gnd),
27544
	.aload(gnd),
27545
	.clk(gnd),
27546
	.cin(gnd),
27547
	.cascin(vcc),
27548
	.devclrn(devclrn),
27549
	.devpor(devpor),
27550
	.combout(\shift_out~4953 ),
27551
	.regout(),
27552
	.cout(),
27553
	.cascout());
27554
// synopsys translate_off
27555
defparam \shift_out~4953_I .clock_enable_mode = "false";
27556
defparam \shift_out~4953_I .lut_mask = "fb40";
27557
defparam \shift_out~4953_I .operation_mode = "normal";
27558
defparam \shift_out~4953_I .output_mode = "comb_only";
27559
defparam \shift_out~4953_I .packed_mode = "false";
27560
// synopsys translate_on
27561
 
27562
// atom is at LC2_D13
27563
flex10ke_lcell \shift_out~4950_I (
27564
// Equation(s):
27565
// \shift_out~4950  = regnum[0] & (regnum[1]) # !regnum[0] & (comport_addr_0 # !regnum[1]) # !\sel_comadr~29 
27566
 
27567
	.dataa(\sel_comadr~29 ),
27568
	.datab(regnum[0]),
27569
	.datac(comport_addr_0),
27570
	.datad(regnum[1]),
27571
	.aclr(gnd),
27572
	.aload(gnd),
27573
	.clk(gnd),
27574
	.cin(gnd),
27575
	.cascin(vcc),
27576
	.devclrn(devclrn),
27577
	.devpor(devpor),
27578
	.combout(\shift_out~4950 ),
27579
	.regout(),
27580
	.cout(),
27581
	.cascout());
27582
// synopsys translate_off
27583
defparam \shift_out~4950_I .clock_enable_mode = "false";
27584
defparam \shift_out~4950_I .lut_mask = "fd77";
27585
defparam \shift_out~4950_I .operation_mode = "normal";
27586
defparam \shift_out~4950_I .output_mode = "comb_only";
27587
defparam \shift_out~4950_I .packed_mode = "false";
27588
// synopsys translate_on
27589
 
27590
// atom is at LC7_D1
27591
flex10ke_lcell \shift_out~4949_I (
27592
// Equation(s):
27593
// \shift_out~4949  = !regnum[1] & \sel_comadr~29  & regnum[0] & gluclock_addr_0
27594
 
27595
	.dataa(regnum[1]),
27596
	.datab(\sel_comadr~29 ),
27597
	.datac(regnum[0]),
27598
	.datad(gluclock_addr_0),
27599
	.aclr(gnd),
27600
	.aload(gnd),
27601
	.clk(gnd),
27602
	.cin(gnd),
27603
	.cascin(vcc),
27604
	.devclrn(devclrn),
27605
	.devpor(devpor),
27606
	.combout(\shift_out~4949 ),
27607
	.regout(),
27608
	.cout(),
27609
	.cascout());
27610
// synopsys translate_off
27611
defparam \shift_out~4949_I .clock_enable_mode = "false";
27612
defparam \shift_out~4949_I .lut_mask = "4000";
27613
defparam \shift_out~4949_I .operation_mode = "normal";
27614
defparam \shift_out~4949_I .output_mode = "comb_only";
27615
defparam \shift_out~4949_I .packed_mode = "false";
27616
// synopsys translate_on
27617
 
27618
// atom is at LC1_D1
27619
flex10ke_lcell \shift_out~4951_I (
27620
// Equation(s):
27621
// \shift_out~4951  = sel_waitreg & (wait_write_0) # !sel_waitreg & (\shift_out~4950  # \shift_out~4949 )
27622
 
27623
	.dataa(sel_waitreg),
27624
	.datab(\shift_out~4950 ),
27625
	.datac(\shift_out~4949 ),
27626
	.datad(wait_write_0),
27627
	.aclr(gnd),
27628
	.aload(gnd),
27629
	.clk(gnd),
27630
	.cin(gnd),
27631
	.cascin(vcc),
27632
	.devclrn(devclrn),
27633
	.devpor(devpor),
27634
	.combout(\shift_out~4951 ),
27635
	.regout(),
27636
	.cout(),
27637
	.cascout());
27638
// synopsys translate_off
27639
defparam \shift_out~4951_I .clock_enable_mode = "false";
27640
defparam \shift_out~4951_I .lut_mask = "fe54";
27641
defparam \shift_out~4951_I .operation_mode = "normal";
27642
defparam \shift_out~4951_I .output_mode = "comb_only";
27643
defparam \shift_out~4951_I .packed_mode = "false";
27644
// synopsys translate_on
27645
 
27646
// atom is at LC2_D2
27647
flex10ke_lcell \shift_out~4952_I (
27648
// Equation(s):
27649
// \shift_out~4952  = spics_n_sync_2 & !spics_n_sync_1 & (\shift_out~4951 ) # !spics_n_sync_2 & spics_n_sync_1 & waits_0
27650
 
27651
	.dataa(spics_n_sync_2),
27652
	.datab(spics_n_sync_1),
27653
	.datac(waits_0),
27654
	.datad(\shift_out~4951 ),
27655
	.aclr(gnd),
27656
	.aload(gnd),
27657
	.clk(gnd),
27658
	.cin(gnd),
27659
	.cascin(vcc),
27660
	.devclrn(devclrn),
27661
	.devpor(devpor),
27662
	.combout(\shift_out~4952 ),
27663
	.regout(),
27664
	.cout(),
27665
	.cascout());
27666
// synopsys translate_off
27667
defparam \shift_out~4952_I .clock_enable_mode = "false";
27668
defparam \shift_out~4952_I .lut_mask = "6240";
27669
defparam \shift_out~4952_I .operation_mode = "normal";
27670
defparam \shift_out~4952_I .output_mode = "comb_only";
27671
defparam \shift_out~4952_I .packed_mode = "false";
27672
// synopsys translate_on
27673
 
27674
// atom is at LC5_D29
27675
flex10ke_lcell \genrst~13_I (
27676
// Equation(s):
27677
// \genrst~13  = !spics_n_sync_2 & !regnum[7] & spics_n_sync_1 & regnum[4]
27678
 
27679
	.dataa(spics_n_sync_2),
27680
	.datab(regnum[7]),
27681
	.datac(spics_n_sync_1),
27682
	.datad(regnum[4]),
27683
	.aclr(gnd),
27684
	.aload(gnd),
27685
	.clk(gnd),
27686
	.cin(gnd),
27687
	.cascin(vcc),
27688
	.devclrn(devclrn),
27689
	.devpor(devpor),
27690
	.combout(\genrst~13 ),
27691
	.regout(),
27692
	.cout(),
27693
	.cascout());
27694
// synopsys translate_off
27695
defparam \genrst~13_I .clock_enable_mode = "false";
27696
defparam \genrst~13_I .lut_mask = "1000";
27697
defparam \genrst~13_I .operation_mode = "normal";
27698
defparam \genrst~13_I .output_mode = "comb_only";
27699
defparam \genrst~13_I .packed_mode = "false";
27700
// synopsys translate_on
27701
 
27702
// atom is at LC4_D34
27703
flex10ke_lcell \always4~102_I (
27704
// Equation(s):
27705
// \always4~102  = !regnum[5] & regnum[6] & \genrst~13 
27706
 
27707
	.dataa(vcc),
27708
	.datab(regnum[5]),
27709
	.datac(regnum[6]),
27710
	.datad(\genrst~13 ),
27711
	.aclr(gnd),
27712
	.aload(gnd),
27713
	.clk(gnd),
27714
	.cin(gnd),
27715
	.cascin(vcc),
27716
	.devclrn(devclrn),
27717
	.devpor(devpor),
27718
	.combout(\always4~102 ),
27719
	.regout(),
27720
	.cout(),
27721
	.cascout());
27722
// synopsys translate_off
27723
defparam \always4~102_I .clock_enable_mode = "false";
27724
defparam \always4~102_I .lut_mask = "3000";
27725
defparam \always4~102_I .operation_mode = "normal";
27726
defparam \always4~102_I .output_mode = "comb_only";
27727
defparam \always4~102_I .packed_mode = "false";
27728
// synopsys translate_on
27729
 
27730
// atom is at LC2_D36
27731
flex10ke_lcell \always4~103_I (
27732
// Equation(s):
27733
// \always4~103  = !spick_sync[2] & !spics_n_sync_1 & spick_sync[1]
27734
 
27735
	.dataa(vcc),
27736
	.datab(spick_sync[2]),
27737
	.datac(spics_n_sync_1),
27738
	.datad(spick_sync[1]),
27739
	.aclr(gnd),
27740
	.aload(gnd),
27741
	.clk(gnd),
27742
	.cin(gnd),
27743
	.cascin(vcc),
27744
	.devclrn(devclrn),
27745
	.devpor(devpor),
27746
	.combout(\always4~103 ),
27747
	.regout(),
27748
	.cout(),
27749
	.cascout());
27750
// synopsys translate_off
27751
defparam \always4~103_I .clock_enable_mode = "false";
27752
defparam \always4~103_I .lut_mask = "0300";
27753
defparam \always4~103_I .operation_mode = "normal";
27754
defparam \always4~103_I .output_mode = "comb_only";
27755
defparam \always4~103_I .packed_mode = "false";
27756
// synopsys translate_on
27757
 
27758
// atom is at LC2_D29
27759
flex10ke_lcell \always4~104_I (
27760
// Equation(s):
27761
// \always4~104  = !regnum[5] & !regnum[7] & \always4~103  & regnum[4]
27762
 
27763
	.dataa(regnum[5]),
27764
	.datab(regnum[7]),
27765
	.datac(\always4~103 ),
27766
	.datad(regnum[4]),
27767
	.aclr(gnd),
27768
	.aload(gnd),
27769
	.clk(gnd),
27770
	.cin(gnd),
27771
	.cascin(vcc),
27772
	.devclrn(devclrn),
27773
	.devpor(devpor),
27774
	.combout(\always4~104 ),
27775
	.regout(),
27776
	.cout(),
27777
	.cascout());
27778
// synopsys translate_off
27779
defparam \always4~104_I .clock_enable_mode = "false";
27780
defparam \always4~104_I .lut_mask = "1000";
27781
defparam \always4~104_I .operation_mode = "normal";
27782
defparam \always4~104_I .output_mode = "comb_only";
27783
defparam \always4~104_I .packed_mode = "false";
27784
// synopsys translate_on
27785
 
27786
// atom is at LC6_D34
27787
flex10ke_lcell \always4~105_I (
27788
// Equation(s):
27789
// \always4~105  = \always4~104  & regnum[6]
27790
 
27791
	.dataa(vcc),
27792
	.datab(vcc),
27793
	.datac(\always4~104 ),
27794
	.datad(regnum[6]),
27795
	.aclr(gnd),
27796
	.aload(gnd),
27797
	.clk(gnd),
27798
	.cin(gnd),
27799
	.cascin(vcc),
27800
	.devclrn(devclrn),
27801
	.devpor(devpor),
27802
	.combout(\always4~105 ),
27803
	.regout(),
27804
	.cout(),
27805
	.cascout());
27806
// synopsys translate_off
27807
defparam \always4~105_I .clock_enable_mode = "false";
27808
defparam \always4~105_I .lut_mask = "f000";
27809
defparam \always4~105_I .operation_mode = "normal";
27810
defparam \always4~105_I .output_mode = "comb_only";
27811
defparam \always4~105_I .packed_mode = "false";
27812
// synopsys translate_on
27813
 
27814
// atom is at LC8_E25
27815
flex10ke_lcell \cfg0_reg_in[7]~I (
27816
// Equation(s):
27817
// cfg0_reg_in[7] = DFFEA(spido_sync[1], GLOBAL(\fclk~dataout ), , , \always4~105 , , )
27818
 
27819
	.dataa(\always4~105 ),
27820
	.datab(vcc),
27821
	.datac(vcc),
27822
	.datad(spido_sync[1]),
27823
	.aclr(gnd),
27824
	.aload(gnd),
27825
	.clk(fclk),
27826
	.cin(gnd),
27827
	.cascin(vcc),
27828
	.devclrn(devclrn),
27829
	.devpor(devpor),
27830
	.combout(),
27831
	.regout(cfg0_reg_in[7]),
27832
	.cout(),
27833
	.cascout());
27834
// synopsys translate_off
27835
defparam \cfg0_reg_in[7]~I .clock_enable_mode = "true";
27836
defparam \cfg0_reg_in[7]~I .lut_mask = "ff00";
27837
defparam \cfg0_reg_in[7]~I .operation_mode = "normal";
27838
defparam \cfg0_reg_in[7]~I .output_mode = "reg_only";
27839
defparam \cfg0_reg_in[7]~I .packed_mode = "false";
27840
// synopsys translate_on
27841
 
27842
// atom is at LC7_E25
27843
flex10ke_lcell \cfg0_reg_in[6]~I (
27844
// Equation(s):
27845
// cfg0_reg_in[6] = DFFEA(cfg0_reg_in[7], GLOBAL(\fclk~dataout ), , , \always4~105 , , )
27846
 
27847
	.dataa(\always4~105 ),
27848
	.datab(vcc),
27849
	.datac(vcc),
27850
	.datad(cfg0_reg_in[7]),
27851
	.aclr(gnd),
27852
	.aload(gnd),
27853
	.clk(fclk),
27854
	.cin(gnd),
27855
	.cascin(vcc),
27856
	.devclrn(devclrn),
27857
	.devpor(devpor),
27858
	.combout(),
27859
	.regout(cfg0_reg_in[6]),
27860
	.cout(),
27861
	.cascout());
27862
// synopsys translate_off
27863
defparam \cfg0_reg_in[6]~I .clock_enable_mode = "true";
27864
defparam \cfg0_reg_in[6]~I .lut_mask = "ff00";
27865
defparam \cfg0_reg_in[6]~I .operation_mode = "normal";
27866
defparam \cfg0_reg_in[6]~I .output_mode = "reg_only";
27867
defparam \cfg0_reg_in[6]~I .packed_mode = "false";
27868
// synopsys translate_on
27869
 
27870
// atom is at LC6_E25
27871
flex10ke_lcell \cfg0_reg_in[5]~I (
27872
// Equation(s):
27873
// cfg0_reg_in[5] = DFFEA(cfg0_reg_in[6], GLOBAL(\fclk~dataout ), , , \always4~105 , , )
27874
 
27875
	.dataa(\always4~105 ),
27876
	.datab(vcc),
27877
	.datac(vcc),
27878
	.datad(cfg0_reg_in[6]),
27879
	.aclr(gnd),
27880
	.aload(gnd),
27881
	.clk(fclk),
27882
	.cin(gnd),
27883
	.cascin(vcc),
27884
	.devclrn(devclrn),
27885
	.devpor(devpor),
27886
	.combout(),
27887
	.regout(cfg0_reg_in[5]),
27888
	.cout(),
27889
	.cascout());
27890
// synopsys translate_off
27891
defparam \cfg0_reg_in[5]~I .clock_enable_mode = "true";
27892
defparam \cfg0_reg_in[5]~I .lut_mask = "ff00";
27893
defparam \cfg0_reg_in[5]~I .operation_mode = "normal";
27894
defparam \cfg0_reg_in[5]~I .output_mode = "reg_only";
27895
defparam \cfg0_reg_in[5]~I .packed_mode = "false";
27896
// synopsys translate_on
27897
 
27898
// atom is at LC5_E25
27899
flex10ke_lcell \cfg0_reg_in[4]~I (
27900
// Equation(s):
27901
// cfg0_reg_in[4] = DFFEA(cfg0_reg_in[5], GLOBAL(\fclk~dataout ), , , \always4~105 , , )
27902
 
27903
	.dataa(\always4~105 ),
27904
	.datab(vcc),
27905
	.datac(vcc),
27906
	.datad(cfg0_reg_in[5]),
27907
	.aclr(gnd),
27908
	.aload(gnd),
27909
	.clk(fclk),
27910
	.cin(gnd),
27911
	.cascin(vcc),
27912
	.devclrn(devclrn),
27913
	.devpor(devpor),
27914
	.combout(),
27915
	.regout(cfg0_reg_in[4]),
27916
	.cout(),
27917
	.cascout());
27918
// synopsys translate_off
27919
defparam \cfg0_reg_in[4]~I .clock_enable_mode = "true";
27920
defparam \cfg0_reg_in[4]~I .lut_mask = "ff00";
27921
defparam \cfg0_reg_in[4]~I .operation_mode = "normal";
27922
defparam \cfg0_reg_in[4]~I .output_mode = "reg_only";
27923
defparam \cfg0_reg_in[4]~I .packed_mode = "false";
27924
// synopsys translate_on
27925
 
27926
// atom is at LC3_E25
27927
flex10ke_lcell \cfg0_reg_in[3]~I (
27928
// Equation(s):
27929
// cfg0_reg_in[3] = DFFEA(cfg0_reg_in[4], GLOBAL(\fclk~dataout ), , , \always4~105 , , )
27930
 
27931
	.dataa(\always4~105 ),
27932
	.datab(vcc),
27933
	.datac(vcc),
27934
	.datad(cfg0_reg_in[4]),
27935
	.aclr(gnd),
27936
	.aload(gnd),
27937
	.clk(fclk),
27938
	.cin(gnd),
27939
	.cascin(vcc),
27940
	.devclrn(devclrn),
27941
	.devpor(devpor),
27942
	.combout(),
27943
	.regout(cfg0_reg_in[3]),
27944
	.cout(),
27945
	.cascout());
27946
// synopsys translate_off
27947
defparam \cfg0_reg_in[3]~I .clock_enable_mode = "true";
27948
defparam \cfg0_reg_in[3]~I .lut_mask = "ff00";
27949
defparam \cfg0_reg_in[3]~I .operation_mode = "normal";
27950
defparam \cfg0_reg_in[3]~I .output_mode = "reg_only";
27951
defparam \cfg0_reg_in[3]~I .packed_mode = "false";
27952
// synopsys translate_on
27953
 
27954
// atom is at LC2_E25
27955
flex10ke_lcell \cfg0_reg_in[2]~I (
27956
// Equation(s):
27957
// cfg0_reg_in[2] = DFFEA(cfg0_reg_in[3], GLOBAL(\fclk~dataout ), , , \always4~105 , , )
27958
 
27959
	.dataa(\always4~105 ),
27960
	.datab(vcc),
27961
	.datac(vcc),
27962
	.datad(cfg0_reg_in[3]),
27963
	.aclr(gnd),
27964
	.aload(gnd),
27965
	.clk(fclk),
27966
	.cin(gnd),
27967
	.cascin(vcc),
27968
	.devclrn(devclrn),
27969
	.devpor(devpor),
27970
	.combout(),
27971
	.regout(cfg0_reg_in[2]),
27972
	.cout(),
27973
	.cascout());
27974
// synopsys translate_off
27975
defparam \cfg0_reg_in[2]~I .clock_enable_mode = "true";
27976
defparam \cfg0_reg_in[2]~I .lut_mask = "ff00";
27977
defparam \cfg0_reg_in[2]~I .operation_mode = "normal";
27978
defparam \cfg0_reg_in[2]~I .output_mode = "reg_only";
27979
defparam \cfg0_reg_in[2]~I .packed_mode = "false";
27980
// synopsys translate_on
27981
 
27982
// atom is at LC4_E25
27983
flex10ke_lcell \cfg0_reg_in[1]~I (
27984
// Equation(s):
27985
// cfg0_reg_in[1] = DFFEA(cfg0_reg_in[2], GLOBAL(\fclk~dataout ), , , \always4~105 , , )
27986
 
27987
	.dataa(\always4~105 ),
27988
	.datab(vcc),
27989
	.datac(vcc),
27990
	.datad(cfg0_reg_in[2]),
27991
	.aclr(gnd),
27992
	.aload(gnd),
27993
	.clk(fclk),
27994
	.cin(gnd),
27995
	.cascin(vcc),
27996
	.devclrn(devclrn),
27997
	.devpor(devpor),
27998
	.combout(),
27999
	.regout(cfg0_reg_in[1]),
28000
	.cout(),
28001
	.cascout());
28002
// synopsys translate_off
28003
defparam \cfg0_reg_in[1]~I .clock_enable_mode = "true";
28004
defparam \cfg0_reg_in[1]~I .lut_mask = "ff00";
28005
defparam \cfg0_reg_in[1]~I .operation_mode = "normal";
28006
defparam \cfg0_reg_in[1]~I .output_mode = "reg_only";
28007
defparam \cfg0_reg_in[1]~I .packed_mode = "false";
28008
// synopsys translate_on
28009
 
28010
// atom is at LC2_D35
28011
flex10ke_lcell \spics_n_sync[0]~I (
28012
// Equation(s):
28013
// spics_n_sync[0] = DFFEA(\spics_n~dataout , GLOBAL(\fclk~dataout ), , , , , )
28014
 
28015
	.dataa(vcc),
28016
	.datab(vcc),
28017
	.datac(vcc),
28018
	.datad(spics_n),
28019
	.aclr(gnd),
28020
	.aload(gnd),
28021
	.clk(fclk),
28022
	.cin(gnd),
28023
	.cascin(vcc),
28024
	.devclrn(devclrn),
28025
	.devpor(devpor),
28026
	.combout(),
28027
	.regout(spics_n_sync[0]),
28028
	.cout(),
28029
	.cascout());
28030
// synopsys translate_off
28031
defparam \spics_n_sync[0]~I .clock_enable_mode = "false";
28032
defparam \spics_n_sync[0]~I .lut_mask = "ff00";
28033
defparam \spics_n_sync[0]~I .operation_mode = "normal";
28034
defparam \spics_n_sync[0]~I .output_mode = "reg_only";
28035
defparam \spics_n_sync[0]~I .packed_mode = "false";
28036
// synopsys translate_on
28037
 
28038
// atom is at LC1_A34
28039
flex10ke_lcell \cfg0_reg_in[0]~I (
28040
// Equation(s):
28041
// cfg0_reg_in[0] = DFFEA(cfg0_reg_in[1], GLOBAL(\fclk~dataout ), , , \always4~105 , , )
28042
 
28043
	.dataa(\always4~105 ),
28044
	.datab(vcc),
28045
	.datac(vcc),
28046
	.datad(cfg0_reg_in[1]),
28047
	.aclr(gnd),
28048
	.aload(gnd),
28049
	.clk(fclk),
28050
	.cin(gnd),
28051
	.cascin(vcc),
28052
	.devclrn(devclrn),
28053
	.devpor(devpor),
28054
	.combout(),
28055
	.regout(cfg0_reg_in[0]),
28056
	.cout(),
28057
	.cascout());
28058
// synopsys translate_off
28059
defparam \cfg0_reg_in[0]~I .clock_enable_mode = "true";
28060
defparam \cfg0_reg_in[0]~I .lut_mask = "ff00";
28061
defparam \cfg0_reg_in[0]~I .operation_mode = "normal";
28062
defparam \cfg0_reg_in[0]~I .output_mode = "reg_only";
28063
defparam \cfg0_reg_in[0]~I .packed_mode = "false";
28064
// synopsys translate_on
28065
 
28066
// atom is at LC6_D29
28067
flex10ke_lcell \sel_musycr~14_I (
28068
// Equation(s):
28069
// \sel_musycr~14  = !regnum[4] & !regnum[7] & !regnum[6] & regnum[5]
28070
 
28071
	.dataa(regnum[4]),
28072
	.datab(regnum[7]),
28073
	.datac(regnum[6]),
28074
	.datad(regnum[5]),
28075
	.aclr(gnd),
28076
	.aload(gnd),
28077
	.clk(gnd),
28078
	.cin(gnd),
28079
	.cascin(vcc),
28080
	.devclrn(devclrn),
28081
	.devpor(devpor),
28082
	.combout(\sel_musycr~14 ),
28083
	.regout(),
28084
	.cout(),
28085
	.cascout());
28086
// synopsys translate_off
28087
defparam \sel_musycr~14_I .clock_enable_mode = "false";
28088
defparam \sel_musycr~14_I .lut_mask = "0100";
28089
defparam \sel_musycr~14_I .operation_mode = "normal";
28090
defparam \sel_musycr~14_I .output_mode = "comb_only";
28091
defparam \sel_musycr~14_I .packed_mode = "false";
28092
// synopsys translate_on
28093
 
28094
// atom is at LC8_D36
28095
flex10ke_lcell \always4~106_I (
28096
// Equation(s):
28097
// \always4~106  = !spick_sync[2] & !spics_n_sync_1 & spick_sync[1] & \sel_musycr~14 
28098
 
28099
	.dataa(spick_sync[2]),
28100
	.datab(spics_n_sync_1),
28101
	.datac(spick_sync[1]),
28102
	.datad(\sel_musycr~14 ),
28103
	.aclr(gnd),
28104
	.aload(gnd),
28105
	.clk(gnd),
28106
	.cin(gnd),
28107
	.cascin(vcc),
28108
	.devclrn(devclrn),
28109
	.devpor(devpor),
28110
	.combout(\always4~106 ),
28111
	.regout(),
28112
	.cout(),
28113
	.cascout());
28114
// synopsys translate_off
28115
defparam \always4~106_I .clock_enable_mode = "false";
28116
defparam \always4~106_I .lut_mask = "1000";
28117
defparam \always4~106_I .operation_mode = "normal";
28118
defparam \always4~106_I .output_mode = "comb_only";
28119
defparam \always4~106_I .packed_mode = "false";
28120
// synopsys translate_on
28121
 
28122
// atom is at LC5_D33
28123
flex10ke_lcell \scs_n_01~I (
28124
// Equation(s):
28125
// scs_n_01 = !spics_n_sync_2 & spics_n_sync_1
28126
 
28127
	.dataa(vcc),
28128
	.datab(vcc),
28129
	.datac(spics_n_sync_2),
28130
	.datad(spics_n_sync_1),
28131
	.aclr(gnd),
28132
	.aload(gnd),
28133
	.clk(gnd),
28134
	.cin(gnd),
28135
	.cascin(vcc),
28136
	.devclrn(devclrn),
28137
	.devpor(devpor),
28138
	.combout(scs_n_01),
28139
	.regout(),
28140
	.cout(),
28141
	.cascout());
28142
// synopsys translate_off
28143
defparam \scs_n_01~I .clock_enable_mode = "false";
28144
defparam \scs_n_01~I .lut_mask = "0f00";
28145
defparam \scs_n_01~I .operation_mode = "normal";
28146
defparam \scs_n_01~I .output_mode = "comb_only";
28147
defparam \scs_n_01~I .packed_mode = "false";
28148
// synopsys translate_on
28149
 
28150
// atom is at LC6_D25
28151
flex10ke_lcell \always4~10_I (
28152
// Equation(s):
28153
// \always4~10  = !regnum[1] & !regnum[0] & \sel_comadr~29  & \always4~103 
28154
 
28155
	.dataa(regnum[1]),
28156
	.datab(regnum[0]),
28157
	.datac(\sel_comadr~29 ),
28158
	.datad(\always4~103 ),
28159
	.aclr(gnd),
28160
	.aload(gnd),
28161
	.clk(gnd),
28162
	.cin(gnd),
28163
	.cascin(vcc),
28164
	.devclrn(devclrn),
28165
	.devpor(devpor),
28166
	.combout(\always4~10 ),
28167
	.regout(),
28168
	.cout(),
28169
	.cascout());
28170
// synopsys translate_off
28171
defparam \always4~10_I .clock_enable_mode = "false";
28172
defparam \always4~10_I .lut_mask = "1000";
28173
defparam \always4~10_I .operation_mode = "normal";
28174
defparam \always4~10_I .output_mode = "comb_only";
28175
defparam \always4~10_I .packed_mode = "false";
28176
// synopsys translate_on
28177
 
28178
// atom is at LC7_D34
28179
flex10ke_lcell \always4~107_I (
28180
// Equation(s):
28181
// \always4~107  = !regnum[0] & !regnum[6] & \always4~104 
28182
 
28183
	.dataa(vcc),
28184
	.datab(regnum[0]),
28185
	.datac(regnum[6]),
28186
	.datad(\always4~104 ),
28187
	.aclr(gnd),
28188
	.aload(gnd),
28189
	.clk(gnd),
28190
	.cin(gnd),
28191
	.cascin(vcc),
28192
	.devclrn(devclrn),
28193
	.devpor(devpor),
28194
	.combout(\always4~107 ),
28195
	.regout(),
28196
	.cout(),
28197
	.cascout());
28198
// synopsys translate_off
28199
defparam \always4~107_I .clock_enable_mode = "false";
28200
defparam \always4~107_I .lut_mask = "0300";
28201
defparam \always4~107_I .operation_mode = "normal";
28202
defparam \always4~107_I .output_mode = "comb_only";
28203
defparam \always4~107_I .packed_mode = "false";
28204
// synopsys translate_on
28205
 
28206
endmodule
28207
 
28208
module spi2 (
28209
	shiftout_7,
28210
	q_0,
28211
	sd_stgl_2,
28212
	sd_stgl_1,
28213
	sd_start,
28214
	dout_0,
28215
	dout_1,
28216
	dout_2,
28217
	dout_3,
28218
	dout_4,
28219
	dout_5,
28220
	dout_6,
28221
	dout_7,
28222
	wr_n,
28223
	clock,
28224
	sddi,
28225
	d_0,
28226
	d_1,
28227
	d_2,
28228
	d_3,
28229
	d_4,
28230
	d_5,
28231
	d_6,
28232
	d_7,
28233
	sd_start1,
28234
	devpor,
28235
	devclrn,
28236
	devoe);
28237
output 	shiftout_7;
28238
output 	q_0;
28239
input 	sd_stgl_2;
28240
input 	sd_stgl_1;
28241
input 	sd_start;
28242
output 	dout_0;
28243
output 	dout_1;
28244
output 	dout_2;
28245
output 	dout_3;
28246
output 	dout_4;
28247
output 	dout_5;
28248
output 	dout_6;
28249
output 	dout_7;
28250
input 	wr_n;
28251
input 	clock;
28252
input 	sddi;
28253
input 	d_0;
28254
input 	d_1;
28255
input 	d_2;
28256
input 	d_3;
28257
input 	d_4;
28258
input 	d_5;
28259
input 	d_6;
28260
input 	d_7;
28261
input 	sd_start1;
28262
input 	devpor;
28263
input 	devclrn;
28264
input 	devoe;
28265
 
28266
wire gnd = 1'b0;
28267
wire vcc = 1'b1;
28268
 
28269
wire \counter_rtl_1|wysi_counter|counter_cell[0]~COUT ;
28270
wire \dout~319 ;
28271
wire \shiftout[7]~779 ;
28272
wire \shiftout~771 ;
28273
wire \shiftout~769 ;
28274
wire \shiftout~767 ;
28275
wire \shiftout~765 ;
28276
wire \shiftout~763 ;
28277
wire \shiftout~761 ;
28278
wire \shiftout~759 ;
28279
wire \counter_rtl_1|wysi_counter|counter_cell[1]~COUT ;
28280
wire \counter_rtl_1|wysi_counter|counter_cell[2]~COUT ;
28281
wire \counter_rtl_1|wysi_counter|counter_cell[3]~COUT ;
28282
wire \dout~320 ;
28283
wire \dout~321 ;
28284
wire [6:0] shiftin;
28285
wire [7:0] shiftout;
28286
wire [4:0] \counter_rtl_1|wysi_counter|q ;
28287
 
28288
 
28289
// atom is at LC6_J29
28290
flex10ke_lcell \shiftout[7]~I (
28291
// Equation(s):
28292
// shiftout_7 = DFFEA(\shiftout~759 , GLOBAL(\fclk~dataout ), , , \shiftout[7]~779 , , )
28293
 
28294
	.dataa(\shiftout[7]~779 ),
28295
	.datab(vcc),
28296
	.datac(vcc),
28297
	.datad(\shiftout~759 ),
28298
	.aclr(gnd),
28299
	.aload(gnd),
28300
	.clk(clock),
28301
	.cin(gnd),
28302
	.cascin(vcc),
28303
	.devclrn(devclrn),
28304
	.devpor(devpor),
28305
	.combout(),
28306
	.regout(shiftout_7),
28307
	.cout(),
28308
	.cascout());
28309
// synopsys translate_off
28310
defparam \shiftout[7]~I .clock_enable_mode = "true";
28311
defparam \shiftout[7]~I .lut_mask = "ff00";
28312
defparam \shiftout[7]~I .operation_mode = "normal";
28313
defparam \shiftout[7]~I .output_mode = "reg_only";
28314
defparam \shiftout[7]~I .packed_mode = "false";
28315
// synopsys translate_on
28316
 
28317
// atom is at LC2_J9
28318
flex10ke_lcell \counter_rtl_1|wysi_counter|counter_cell[0] (
28319
// Equation(s):
28320
// q_0 = DFFEA((\counter_rtl_1|wysi_counter|q [4] $ !q_0) & sd_start1, GLOBAL(\fclk~dataout ), , , , , )
28321
// \counter_rtl_1|wysi_counter|counter_cell[0]~COUT  = CARRY(q_0)
28322
 
28323
	.dataa(\counter_rtl_1|wysi_counter|q [4]),
28324
	.datab(sd_start1),
28325
	.datac(vcc),
28326
	.datad(vcc),
28327
	.aclr(gnd),
28328
	.aload(gnd),
28329
	.clk(clock),
28330
	.cin(gnd),
28331
	.cascin(vcc),
28332
	.devclrn(devclrn),
28333
	.devpor(devpor),
28334
	.combout(),
28335
	.regout(q_0),
28336
	.cout(\counter_rtl_1|wysi_counter|counter_cell[0]~COUT ),
28337
	.cascout());
28338
// synopsys translate_off
28339
defparam \counter_rtl_1|wysi_counter|counter_cell[0] .clock_enable_mode = "false";
28340
defparam \counter_rtl_1|wysi_counter|counter_cell[0] .lut_mask = "99aa";
28341
defparam \counter_rtl_1|wysi_counter|counter_cell[0] .operation_mode = "clrb_cntr";
28342
defparam \counter_rtl_1|wysi_counter|counter_cell[0] .output_mode = "reg_only";
28343
defparam \counter_rtl_1|wysi_counter|counter_cell[0] .packed_mode = "false";
28344
// synopsys translate_on
28345
 
28346
// atom is at LC3_H6
28347
flex10ke_lcell \dout[0]~I (
28348
// Equation(s):
28349
// dout_0 = DFFEA(\sddi~dataout , GLOBAL(\fclk~dataout ), , , \dout~321 , , )
28350
 
28351
	.dataa(\dout~321 ),
28352
	.datab(vcc),
28353
	.datac(vcc),
28354
	.datad(sddi),
28355
	.aclr(gnd),
28356
	.aload(gnd),
28357
	.clk(clock),
28358
	.cin(gnd),
28359
	.cascin(vcc),
28360
	.devclrn(devclrn),
28361
	.devpor(devpor),
28362
	.combout(),
28363
	.regout(dout_0),
28364
	.cout(),
28365
	.cascout());
28366
// synopsys translate_off
28367
defparam \dout[0]~I .clock_enable_mode = "true";
28368
defparam \dout[0]~I .lut_mask = "ff00";
28369
defparam \dout[0]~I .operation_mode = "normal";
28370
defparam \dout[0]~I .output_mode = "reg_only";
28371
defparam \dout[0]~I .packed_mode = "false";
28372
// synopsys translate_on
28373
 
28374
// atom is at LC5_H4
28375
flex10ke_lcell \dout[1]~I (
28376
// Equation(s):
28377
// dout_1 = DFFEA(shiftin[0], GLOBAL(\fclk~dataout ), , , \dout~321 , , )
28378
 
28379
	.dataa(\dout~321 ),
28380
	.datab(vcc),
28381
	.datac(vcc),
28382
	.datad(shiftin[0]),
28383
	.aclr(gnd),
28384
	.aload(gnd),
28385
	.clk(clock),
28386
	.cin(gnd),
28387
	.cascin(vcc),
28388
	.devclrn(devclrn),
28389
	.devpor(devpor),
28390
	.combout(),
28391
	.regout(dout_1),
28392
	.cout(),
28393
	.cascout());
28394
// synopsys translate_off
28395
defparam \dout[1]~I .clock_enable_mode = "true";
28396
defparam \dout[1]~I .lut_mask = "ff00";
28397
defparam \dout[1]~I .operation_mode = "normal";
28398
defparam \dout[1]~I .output_mode = "reg_only";
28399
defparam \dout[1]~I .packed_mode = "false";
28400
// synopsys translate_on
28401
 
28402
// atom is at LC4_H6
28403
flex10ke_lcell \dout[2]~I (
28404
// Equation(s):
28405
// dout_2 = DFFEA(shiftin[1], GLOBAL(\fclk~dataout ), , , \dout~321 , , )
28406
 
28407
	.dataa(\dout~321 ),
28408
	.datab(vcc),
28409
	.datac(vcc),
28410
	.datad(shiftin[1]),
28411
	.aclr(gnd),
28412
	.aload(gnd),
28413
	.clk(clock),
28414
	.cin(gnd),
28415
	.cascin(vcc),
28416
	.devclrn(devclrn),
28417
	.devpor(devpor),
28418
	.combout(),
28419
	.regout(dout_2),
28420
	.cout(),
28421
	.cascout());
28422
// synopsys translate_off
28423
defparam \dout[2]~I .clock_enable_mode = "true";
28424
defparam \dout[2]~I .lut_mask = "ff00";
28425
defparam \dout[2]~I .operation_mode = "normal";
28426
defparam \dout[2]~I .output_mode = "reg_only";
28427
defparam \dout[2]~I .packed_mode = "false";
28428
// synopsys translate_on
28429
 
28430
// atom is at LC1_H15
28431
flex10ke_lcell \dout[3]~I (
28432
// Equation(s):
28433
// dout_3 = DFFEA(shiftin[2], GLOBAL(\fclk~dataout ), , , \dout~321 , , )
28434
 
28435
	.dataa(\dout~321 ),
28436
	.datab(vcc),
28437
	.datac(vcc),
28438
	.datad(shiftin[2]),
28439
	.aclr(gnd),
28440
	.aload(gnd),
28441
	.clk(clock),
28442
	.cin(gnd),
28443
	.cascin(vcc),
28444
	.devclrn(devclrn),
28445
	.devpor(devpor),
28446
	.combout(),
28447
	.regout(dout_3),
28448
	.cout(),
28449
	.cascout());
28450
// synopsys translate_off
28451
defparam \dout[3]~I .clock_enable_mode = "true";
28452
defparam \dout[3]~I .lut_mask = "ff00";
28453
defparam \dout[3]~I .operation_mode = "normal";
28454
defparam \dout[3]~I .output_mode = "reg_only";
28455
defparam \dout[3]~I .packed_mode = "false";
28456
// synopsys translate_on
28457
 
28458
// atom is at LC5_H15
28459
flex10ke_lcell \dout[4]~I (
28460
// Equation(s):
28461
// dout_4 = DFFEA(shiftin[3], GLOBAL(\fclk~dataout ), , , \dout~321 , , )
28462
 
28463
	.dataa(\dout~321 ),
28464
	.datab(vcc),
28465
	.datac(vcc),
28466
	.datad(shiftin[3]),
28467
	.aclr(gnd),
28468
	.aload(gnd),
28469
	.clk(clock),
28470
	.cin(gnd),
28471
	.cascin(vcc),
28472
	.devclrn(devclrn),
28473
	.devpor(devpor),
28474
	.combout(),
28475
	.regout(dout_4),
28476
	.cout(),
28477
	.cascout());
28478
// synopsys translate_off
28479
defparam \dout[4]~I .clock_enable_mode = "true";
28480
defparam \dout[4]~I .lut_mask = "ff00";
28481
defparam \dout[4]~I .operation_mode = "normal";
28482
defparam \dout[4]~I .output_mode = "reg_only";
28483
defparam \dout[4]~I .packed_mode = "false";
28484
// synopsys translate_on
28485
 
28486
// atom is at LC4_H4
28487
flex10ke_lcell \dout[5]~I (
28488
// Equation(s):
28489
// dout_5 = DFFEA(shiftin[4], GLOBAL(\fclk~dataout ), , , \dout~321 , , )
28490
 
28491
	.dataa(\dout~321 ),
28492
	.datab(vcc),
28493
	.datac(vcc),
28494
	.datad(shiftin[4]),
28495
	.aclr(gnd),
28496
	.aload(gnd),
28497
	.clk(clock),
28498
	.cin(gnd),
28499
	.cascin(vcc),
28500
	.devclrn(devclrn),
28501
	.devpor(devpor),
28502
	.combout(),
28503
	.regout(dout_5),
28504
	.cout(),
28505
	.cascout());
28506
// synopsys translate_off
28507
defparam \dout[5]~I .clock_enable_mode = "true";
28508
defparam \dout[5]~I .lut_mask = "ff00";
28509
defparam \dout[5]~I .operation_mode = "normal";
28510
defparam \dout[5]~I .output_mode = "reg_only";
28511
defparam \dout[5]~I .packed_mode = "false";
28512
// synopsys translate_on
28513
 
28514
// atom is at LC3_H4
28515
flex10ke_lcell \dout[6]~I (
28516
// Equation(s):
28517
// dout_6 = DFFEA(shiftin[5], GLOBAL(\fclk~dataout ), , , \dout~321 , , )
28518
 
28519
	.dataa(\dout~321 ),
28520
	.datab(vcc),
28521
	.datac(vcc),
28522
	.datad(shiftin[5]),
28523
	.aclr(gnd),
28524
	.aload(gnd),
28525
	.clk(clock),
28526
	.cin(gnd),
28527
	.cascin(vcc),
28528
	.devclrn(devclrn),
28529
	.devpor(devpor),
28530
	.combout(),
28531
	.regout(dout_6),
28532
	.cout(),
28533
	.cascout());
28534
// synopsys translate_off
28535
defparam \dout[6]~I .clock_enable_mode = "true";
28536
defparam \dout[6]~I .lut_mask = "ff00";
28537
defparam \dout[6]~I .operation_mode = "normal";
28538
defparam \dout[6]~I .output_mode = "reg_only";
28539
defparam \dout[6]~I .packed_mode = "false";
28540
// synopsys translate_on
28541
 
28542
// atom is at LC7_H6
28543
flex10ke_lcell \dout[7]~I (
28544
// Equation(s):
28545
// dout_7 = DFFEA(shiftin[6], GLOBAL(\fclk~dataout ), , , \dout~321 , , )
28546
 
28547
	.dataa(\dout~321 ),
28548
	.datab(vcc),
28549
	.datac(vcc),
28550
	.datad(shiftin[6]),
28551
	.aclr(gnd),
28552
	.aload(gnd),
28553
	.clk(clock),
28554
	.cin(gnd),
28555
	.cascin(vcc),
28556
	.devclrn(devclrn),
28557
	.devpor(devpor),
28558
	.combout(),
28559
	.regout(dout_7),
28560
	.cout(),
28561
	.cascout());
28562
// synopsys translate_off
28563
defparam \dout[7]~I .clock_enable_mode = "true";
28564
defparam \dout[7]~I .lut_mask = "ff00";
28565
defparam \dout[7]~I .operation_mode = "normal";
28566
defparam \dout[7]~I .output_mode = "reg_only";
28567
defparam \dout[7]~I .packed_mode = "false";
28568
// synopsys translate_on
28569
 
28570
// atom is at LC4_J16
28571
flex10ke_lcell \dout~319_I (
28572
// Equation(s):
28573
// \dout~319  = !q_0 & (sd_stgl_1 $ !sd_stgl_2)
28574
 
28575
	.dataa(vcc),
28576
	.datab(q_0),
28577
	.datac(sd_stgl_1),
28578
	.datad(sd_stgl_2),
28579
	.aclr(gnd),
28580
	.aload(gnd),
28581
	.clk(gnd),
28582
	.cin(gnd),
28583
	.cascin(vcc),
28584
	.devclrn(devclrn),
28585
	.devpor(devpor),
28586
	.combout(\dout~319 ),
28587
	.regout(),
28588
	.cout(),
28589
	.cascout());
28590
// synopsys translate_off
28591
defparam \dout~319_I .clock_enable_mode = "false";
28592
defparam \dout~319_I .lut_mask = "3003";
28593
defparam \dout~319_I .operation_mode = "normal";
28594
defparam \dout~319_I .output_mode = "comb_only";
28595
defparam \dout~319_I .packed_mode = "false";
28596
// synopsys translate_on
28597
 
28598
// atom is at LC2_J29
28599
flex10ke_lcell \shiftout[7]~779_I (
28600
// Equation(s):
28601
// \shiftout[7]~779  = !\dout~319 
28602
 
28603
	.dataa(vcc),
28604
	.datab(vcc),
28605
	.datac(vcc),
28606
	.datad(\dout~319 ),
28607
	.aclr(gnd),
28608
	.aload(gnd),
28609
	.clk(gnd),
28610
	.cin(gnd),
28611
	.cascin(vcc),
28612
	.devclrn(devclrn),
28613
	.devpor(devpor),
28614
	.combout(\shiftout[7]~779 ),
28615
	.regout(),
28616
	.cout(),
28617
	.cascout());
28618
// synopsys translate_off
28619
defparam \shiftout[7]~779_I .clock_enable_mode = "false";
28620
defparam \shiftout[7]~779_I .lut_mask = "00ff";
28621
defparam \shiftout[7]~779_I .operation_mode = "normal";
28622
defparam \shiftout[7]~779_I .output_mode = "comb_only";
28623
defparam \shiftout[7]~779_I .packed_mode = "false";
28624
// synopsys translate_on
28625
 
28626
// atom is at LC7_J30
28627
flex10ke_lcell \shiftout[0]~I (
28628
// Equation(s):
28629
// shiftout[0] = DFFEA(\wr_n~dataout  # \d[0]~7 , GLOBAL(\fclk~dataout ), , , sd_start, , )
28630
 
28631
	.dataa(sd_start),
28632
	.datab(vcc),
28633
	.datac(wr_n),
28634
	.datad(d_0),
28635
	.aclr(gnd),
28636
	.aload(gnd),
28637
	.clk(clock),
28638
	.cin(gnd),
28639
	.cascin(vcc),
28640
	.devclrn(devclrn),
28641
	.devpor(devpor),
28642
	.combout(),
28643
	.regout(shiftout[0]),
28644
	.cout(),
28645
	.cascout());
28646
// synopsys translate_off
28647
defparam \shiftout[0]~I .clock_enable_mode = "true";
28648
defparam \shiftout[0]~I .lut_mask = "fff0";
28649
defparam \shiftout[0]~I .operation_mode = "normal";
28650
defparam \shiftout[0]~I .output_mode = "reg_only";
28651
defparam \shiftout[0]~I .packed_mode = "false";
28652
// synopsys translate_on
28653
 
28654
// atom is at LC8_J30
28655
flex10ke_lcell \shiftout~771_I (
28656
// Equation(s):
28657
// \shiftout~771  = sd_start & (\d[1]~6  # \wr_n~dataout ) # !sd_start & (shiftout[0])
28658
 
28659
	.dataa(d_1),
28660
	.datab(wr_n),
28661
	.datac(sd_start),
28662
	.datad(shiftout[0]),
28663
	.aclr(gnd),
28664
	.aload(gnd),
28665
	.clk(gnd),
28666
	.cin(gnd),
28667
	.cascin(vcc),
28668
	.devclrn(devclrn),
28669
	.devpor(devpor),
28670
	.combout(\shiftout~771 ),
28671
	.regout(),
28672
	.cout(),
28673
	.cascout());
28674
// synopsys translate_off
28675
defparam \shiftout~771_I .clock_enable_mode = "false";
28676
defparam \shiftout~771_I .lut_mask = "efe0";
28677
defparam \shiftout~771_I .operation_mode = "normal";
28678
defparam \shiftout~771_I .output_mode = "comb_only";
28679
defparam \shiftout~771_I .packed_mode = "false";
28680
// synopsys translate_on
28681
 
28682
// atom is at LC5_J30
28683
flex10ke_lcell \shiftout[1]~I (
28684
// Equation(s):
28685
// shiftout[1] = DFFEA(\shiftout~771 , GLOBAL(\fclk~dataout ), , , \shiftout[7]~779 , , )
28686
 
28687
	.dataa(\shiftout[7]~779 ),
28688
	.datab(vcc),
28689
	.datac(vcc),
28690
	.datad(\shiftout~771 ),
28691
	.aclr(gnd),
28692
	.aload(gnd),
28693
	.clk(clock),
28694
	.cin(gnd),
28695
	.cascin(vcc),
28696
	.devclrn(devclrn),
28697
	.devpor(devpor),
28698
	.combout(),
28699
	.regout(shiftout[1]),
28700
	.cout(),
28701
	.cascout());
28702
// synopsys translate_off
28703
defparam \shiftout[1]~I .clock_enable_mode = "true";
28704
defparam \shiftout[1]~I .lut_mask = "ff00";
28705
defparam \shiftout[1]~I .operation_mode = "normal";
28706
defparam \shiftout[1]~I .output_mode = "reg_only";
28707
defparam \shiftout[1]~I .packed_mode = "false";
28708
// synopsys translate_on
28709
 
28710
// atom is at LC6_J30
28711
flex10ke_lcell \shiftout~769_I (
28712
// Equation(s):
28713
// \shiftout~769  = sd_start & (\d[2]~5  # \wr_n~dataout ) # !sd_start & (shiftout[1])
28714
 
28715
	.dataa(d_2),
28716
	.datab(wr_n),
28717
	.datac(sd_start),
28718
	.datad(shiftout[1]),
28719
	.aclr(gnd),
28720
	.aload(gnd),
28721
	.clk(gnd),
28722
	.cin(gnd),
28723
	.cascin(vcc),
28724
	.devclrn(devclrn),
28725
	.devpor(devpor),
28726
	.combout(\shiftout~769 ),
28727
	.regout(),
28728
	.cout(),
28729
	.cascout());
28730
// synopsys translate_off
28731
defparam \shiftout~769_I .clock_enable_mode = "false";
28732
defparam \shiftout~769_I .lut_mask = "efe0";
28733
defparam \shiftout~769_I .operation_mode = "normal";
28734
defparam \shiftout~769_I .output_mode = "comb_only";
28735
defparam \shiftout~769_I .packed_mode = "false";
28736
// synopsys translate_on
28737
 
28738
// atom is at LC3_J30
28739
flex10ke_lcell \shiftout[2]~I (
28740
// Equation(s):
28741
// shiftout[2] = DFFEA(\shiftout~769 , GLOBAL(\fclk~dataout ), , , \shiftout[7]~779 , , )
28742
 
28743
	.dataa(\shiftout[7]~779 ),
28744
	.datab(vcc),
28745
	.datac(vcc),
28746
	.datad(\shiftout~769 ),
28747
	.aclr(gnd),
28748
	.aload(gnd),
28749
	.clk(clock),
28750
	.cin(gnd),
28751
	.cascin(vcc),
28752
	.devclrn(devclrn),
28753
	.devpor(devpor),
28754
	.combout(),
28755
	.regout(shiftout[2]),
28756
	.cout(),
28757
	.cascout());
28758
// synopsys translate_off
28759
defparam \shiftout[2]~I .clock_enable_mode = "true";
28760
defparam \shiftout[2]~I .lut_mask = "ff00";
28761
defparam \shiftout[2]~I .operation_mode = "normal";
28762
defparam \shiftout[2]~I .output_mode = "reg_only";
28763
defparam \shiftout[2]~I .packed_mode = "false";
28764
// synopsys translate_on
28765
 
28766
// atom is at LC4_J30
28767
flex10ke_lcell \shiftout~767_I (
28768
// Equation(s):
28769
// \shiftout~767  = sd_start & (\d[3]~4  # \wr_n~dataout ) # !sd_start & (shiftout[2])
28770
 
28771
	.dataa(d_3),
28772
	.datab(wr_n),
28773
	.datac(sd_start),
28774
	.datad(shiftout[2]),
28775
	.aclr(gnd),
28776
	.aload(gnd),
28777
	.clk(gnd),
28778
	.cin(gnd),
28779
	.cascin(vcc),
28780
	.devclrn(devclrn),
28781
	.devpor(devpor),
28782
	.combout(\shiftout~767 ),
28783
	.regout(),
28784
	.cout(),
28785
	.cascout());
28786
// synopsys translate_off
28787
defparam \shiftout~767_I .clock_enable_mode = "false";
28788
defparam \shiftout~767_I .lut_mask = "efe0";
28789
defparam \shiftout~767_I .operation_mode = "normal";
28790
defparam \shiftout~767_I .output_mode = "comb_only";
28791
defparam \shiftout~767_I .packed_mode = "false";
28792
// synopsys translate_on
28793
 
28794
// atom is at LC1_J30
28795
flex10ke_lcell \shiftout[3]~I (
28796
// Equation(s):
28797
// shiftout[3] = DFFEA(\shiftout~767 , GLOBAL(\fclk~dataout ), , , \shiftout[7]~779 , , )
28798
 
28799
	.dataa(\shiftout[7]~779 ),
28800
	.datab(vcc),
28801
	.datac(vcc),
28802
	.datad(\shiftout~767 ),
28803
	.aclr(gnd),
28804
	.aload(gnd),
28805
	.clk(clock),
28806
	.cin(gnd),
28807
	.cascin(vcc),
28808
	.devclrn(devclrn),
28809
	.devpor(devpor),
28810
	.combout(),
28811
	.regout(shiftout[3]),
28812
	.cout(),
28813
	.cascout());
28814
// synopsys translate_off
28815
defparam \shiftout[3]~I .clock_enable_mode = "true";
28816
defparam \shiftout[3]~I .lut_mask = "ff00";
28817
defparam \shiftout[3]~I .operation_mode = "normal";
28818
defparam \shiftout[3]~I .output_mode = "reg_only";
28819
defparam \shiftout[3]~I .packed_mode = "false";
28820
// synopsys translate_on
28821
 
28822
// atom is at LC2_J30
28823
flex10ke_lcell \shiftout~765_I (
28824
// Equation(s):
28825
// \shiftout~765  = sd_start & (\d[4]~3  # \wr_n~dataout ) # !sd_start & (shiftout[3])
28826
 
28827
	.dataa(d_4),
28828
	.datab(wr_n),
28829
	.datac(sd_start),
28830
	.datad(shiftout[3]),
28831
	.aclr(gnd),
28832
	.aload(gnd),
28833
	.clk(gnd),
28834
	.cin(gnd),
28835
	.cascin(vcc),
28836
	.devclrn(devclrn),
28837
	.devpor(devpor),
28838
	.combout(\shiftout~765 ),
28839
	.regout(),
28840
	.cout(),
28841
	.cascout());
28842
// synopsys translate_off
28843
defparam \shiftout~765_I .clock_enable_mode = "false";
28844
defparam \shiftout~765_I .lut_mask = "efe0";
28845
defparam \shiftout~765_I .operation_mode = "normal";
28846
defparam \shiftout~765_I .output_mode = "comb_only";
28847
defparam \shiftout~765_I .packed_mode = "false";
28848
// synopsys translate_on
28849
 
28850
// atom is at LC7_J29
28851
flex10ke_lcell \shiftout[4]~I (
28852
// Equation(s):
28853
// shiftout[4] = DFFEA(\shiftout~765 , GLOBAL(\fclk~dataout ), , , \shiftout[7]~779 , , )
28854
 
28855
	.dataa(\shiftout[7]~779 ),
28856
	.datab(vcc),
28857
	.datac(vcc),
28858
	.datad(\shiftout~765 ),
28859
	.aclr(gnd),
28860
	.aload(gnd),
28861
	.clk(clock),
28862
	.cin(gnd),
28863
	.cascin(vcc),
28864
	.devclrn(devclrn),
28865
	.devpor(devpor),
28866
	.combout(),
28867
	.regout(shiftout[4]),
28868
	.cout(),
28869
	.cascout());
28870
// synopsys translate_off
28871
defparam \shiftout[4]~I .clock_enable_mode = "true";
28872
defparam \shiftout[4]~I .lut_mask = "ff00";
28873
defparam \shiftout[4]~I .operation_mode = "normal";
28874
defparam \shiftout[4]~I .output_mode = "reg_only";
28875
defparam \shiftout[4]~I .packed_mode = "false";
28876
// synopsys translate_on
28877
 
28878
// atom is at LC8_J29
28879
flex10ke_lcell \shiftout~763_I (
28880
// Equation(s):
28881
// \shiftout~763  = sd_start & (\d[5]~2  # \wr_n~dataout ) # !sd_start & (shiftout[4])
28882
 
28883
	.dataa(d_5),
28884
	.datab(wr_n),
28885
	.datac(sd_start),
28886
	.datad(shiftout[4]),
28887
	.aclr(gnd),
28888
	.aload(gnd),
28889
	.clk(gnd),
28890
	.cin(gnd),
28891
	.cascin(vcc),
28892
	.devclrn(devclrn),
28893
	.devpor(devpor),
28894
	.combout(\shiftout~763 ),
28895
	.regout(),
28896
	.cout(),
28897
	.cascout());
28898
// synopsys translate_off
28899
defparam \shiftout~763_I .clock_enable_mode = "false";
28900
defparam \shiftout~763_I .lut_mask = "efe0";
28901
defparam \shiftout~763_I .operation_mode = "normal";
28902
defparam \shiftout~763_I .output_mode = "comb_only";
28903
defparam \shiftout~763_I .packed_mode = "false";
28904
// synopsys translate_on
28905
 
28906
// atom is at LC4_J29
28907
flex10ke_lcell \shiftout[5]~I (
28908
// Equation(s):
28909
// shiftout[5] = DFFEA(\shiftout~763 , GLOBAL(\fclk~dataout ), , , \shiftout[7]~779 , , )
28910
 
28911
	.dataa(\shiftout[7]~779 ),
28912
	.datab(vcc),
28913
	.datac(vcc),
28914
	.datad(\shiftout~763 ),
28915
	.aclr(gnd),
28916
	.aload(gnd),
28917
	.clk(clock),
28918
	.cin(gnd),
28919
	.cascin(vcc),
28920
	.devclrn(devclrn),
28921
	.devpor(devpor),
28922
	.combout(),
28923
	.regout(shiftout[5]),
28924
	.cout(),
28925
	.cascout());
28926
// synopsys translate_off
28927
defparam \shiftout[5]~I .clock_enable_mode = "true";
28928
defparam \shiftout[5]~I .lut_mask = "ff00";
28929
defparam \shiftout[5]~I .operation_mode = "normal";
28930
defparam \shiftout[5]~I .output_mode = "reg_only";
28931
defparam \shiftout[5]~I .packed_mode = "false";
28932
// synopsys translate_on
28933
 
28934
// atom is at LC5_J29
28935
flex10ke_lcell \shiftout~761_I (
28936
// Equation(s):
28937
// \shiftout~761  = sd_start & (\d[6]~1  # \wr_n~dataout ) # !sd_start & (shiftout[5])
28938
 
28939
	.dataa(d_6),
28940
	.datab(wr_n),
28941
	.datac(sd_start),
28942
	.datad(shiftout[5]),
28943
	.aclr(gnd),
28944
	.aload(gnd),
28945
	.clk(gnd),
28946
	.cin(gnd),
28947
	.cascin(vcc),
28948
	.devclrn(devclrn),
28949
	.devpor(devpor),
28950
	.combout(\shiftout~761 ),
28951
	.regout(),
28952
	.cout(),
28953
	.cascout());
28954
// synopsys translate_off
28955
defparam \shiftout~761_I .clock_enable_mode = "false";
28956
defparam \shiftout~761_I .lut_mask = "efe0";
28957
defparam \shiftout~761_I .operation_mode = "normal";
28958
defparam \shiftout~761_I .output_mode = "comb_only";
28959
defparam \shiftout~761_I .packed_mode = "false";
28960
// synopsys translate_on
28961
 
28962
// atom is at LC1_J29
28963
flex10ke_lcell \shiftout[6]~I (
28964
// Equation(s):
28965
// shiftout[6] = DFFEA(\shiftout~761 , GLOBAL(\fclk~dataout ), , , \shiftout[7]~779 , , )
28966
 
28967
	.dataa(\shiftout[7]~779 ),
28968
	.datab(vcc),
28969
	.datac(vcc),
28970
	.datad(\shiftout~761 ),
28971
	.aclr(gnd),
28972
	.aload(gnd),
28973
	.clk(clock),
28974
	.cin(gnd),
28975
	.cascin(vcc),
28976
	.devclrn(devclrn),
28977
	.devpor(devpor),
28978
	.combout(),
28979
	.regout(shiftout[6]),
28980
	.cout(),
28981
	.cascout());
28982
// synopsys translate_off
28983
defparam \shiftout[6]~I .clock_enable_mode = "true";
28984
defparam \shiftout[6]~I .lut_mask = "ff00";
28985
defparam \shiftout[6]~I .operation_mode = "normal";
28986
defparam \shiftout[6]~I .output_mode = "reg_only";
28987
defparam \shiftout[6]~I .packed_mode = "false";
28988
// synopsys translate_on
28989
 
28990
// atom is at LC3_J29
28991
flex10ke_lcell \shiftout~759_I (
28992
// Equation(s):
28993
// \shiftout~759  = sd_start & (\d[7]~0  # \wr_n~dataout ) # !sd_start & (shiftout[6])
28994
 
28995
	.dataa(d_7),
28996
	.datab(wr_n),
28997
	.datac(sd_start),
28998
	.datad(shiftout[6]),
28999
	.aclr(gnd),
29000
	.aload(gnd),
29001
	.clk(gnd),
29002
	.cin(gnd),
29003
	.cascin(vcc),
29004
	.devclrn(devclrn),
29005
	.devpor(devpor),
29006
	.combout(\shiftout~759 ),
29007
	.regout(),
29008
	.cout(),
29009
	.cascout());
29010
// synopsys translate_off
29011
defparam \shiftout~759_I .clock_enable_mode = "false";
29012
defparam \shiftout~759_I .lut_mask = "efe0";
29013
defparam \shiftout~759_I .operation_mode = "normal";
29014
defparam \shiftout~759_I .output_mode = "comb_only";
29015
defparam \shiftout~759_I .packed_mode = "false";
29016
// synopsys translate_on
29017
 
29018
// atom is at LC3_J9
29019
flex10ke_lcell \counter_rtl_1|wysi_counter|counter_cell[1] (
29020
// Equation(s):
29021
// \counter_rtl_1|wysi_counter|q [1] = DFFEA((\counter_rtl_1|wysi_counter|q [1] $ (!\counter_rtl_1|wysi_counter|q [4] & \counter_rtl_1|wysi_counter|counter_cell[0]~COUT )) & sd_start1, GLOBAL(\fclk~dataout ), , , , , )
29022
// \counter_rtl_1|wysi_counter|counter_cell[1]~COUT  = CARRY(\counter_rtl_1|wysi_counter|q [1] & (\counter_rtl_1|wysi_counter|counter_cell[0]~COUT ))
29023
 
29024
	.dataa(\counter_rtl_1|wysi_counter|q [4]),
29025
	.datab(sd_start1),
29026
	.datac(vcc),
29027
	.datad(vcc),
29028
	.aclr(gnd),
29029
	.aload(gnd),
29030
	.clk(clock),
29031
	.cin(\counter_rtl_1|wysi_counter|counter_cell[0]~COUT ),
29032
	.cascin(vcc),
29033
	.devclrn(devclrn),
29034
	.devpor(devpor),
29035
	.combout(),
29036
	.regout(\counter_rtl_1|wysi_counter|q [1]),
29037
	.cout(\counter_rtl_1|wysi_counter|counter_cell[1]~COUT ),
29038
	.cascout());
29039
// synopsys translate_off
29040
defparam \counter_rtl_1|wysi_counter|counter_cell[1] .cin_used = "true";
29041
defparam \counter_rtl_1|wysi_counter|counter_cell[1] .clock_enable_mode = "false";
29042
defparam \counter_rtl_1|wysi_counter|counter_cell[1] .lut_mask = "9ca0";
29043
defparam \counter_rtl_1|wysi_counter|counter_cell[1] .operation_mode = "clrb_cntr";
29044
defparam \counter_rtl_1|wysi_counter|counter_cell[1] .output_mode = "reg_only";
29045
defparam \counter_rtl_1|wysi_counter|counter_cell[1] .packed_mode = "false";
29046
// synopsys translate_on
29047
 
29048
// atom is at LC4_J9
29049
flex10ke_lcell \counter_rtl_1|wysi_counter|counter_cell[2] (
29050
// Equation(s):
29051
// \counter_rtl_1|wysi_counter|q [2] = DFFEA((\counter_rtl_1|wysi_counter|q [2] $ (!\counter_rtl_1|wysi_counter|q [4] & \counter_rtl_1|wysi_counter|counter_cell[1]~COUT )) & sd_start1, GLOBAL(\fclk~dataout ), , , , , )
29052
// \counter_rtl_1|wysi_counter|counter_cell[2]~COUT  = CARRY(\counter_rtl_1|wysi_counter|q [2] & (\counter_rtl_1|wysi_counter|counter_cell[1]~COUT ))
29053
 
29054
	.dataa(\counter_rtl_1|wysi_counter|q [4]),
29055
	.datab(sd_start1),
29056
	.datac(vcc),
29057
	.datad(vcc),
29058
	.aclr(gnd),
29059
	.aload(gnd),
29060
	.clk(clock),
29061
	.cin(\counter_rtl_1|wysi_counter|counter_cell[1]~COUT ),
29062
	.cascin(vcc),
29063
	.devclrn(devclrn),
29064
	.devpor(devpor),
29065
	.combout(),
29066
	.regout(\counter_rtl_1|wysi_counter|q [2]),
29067
	.cout(\counter_rtl_1|wysi_counter|counter_cell[2]~COUT ),
29068
	.cascout());
29069
// synopsys translate_off
29070
defparam \counter_rtl_1|wysi_counter|counter_cell[2] .cin_used = "true";
29071
defparam \counter_rtl_1|wysi_counter|counter_cell[2] .clock_enable_mode = "false";
29072
defparam \counter_rtl_1|wysi_counter|counter_cell[2] .lut_mask = "9ca0";
29073
defparam \counter_rtl_1|wysi_counter|counter_cell[2] .operation_mode = "clrb_cntr";
29074
defparam \counter_rtl_1|wysi_counter|counter_cell[2] .output_mode = "reg_only";
29075
defparam \counter_rtl_1|wysi_counter|counter_cell[2] .packed_mode = "false";
29076
// synopsys translate_on
29077
 
29078
// atom is at LC5_J9
29079
flex10ke_lcell \counter_rtl_1|wysi_counter|counter_cell[3] (
29080
// Equation(s):
29081
// \counter_rtl_1|wysi_counter|q [3] = DFFEA((\counter_rtl_1|wysi_counter|q [3] $ (!\counter_rtl_1|wysi_counter|q [4] & \counter_rtl_1|wysi_counter|counter_cell[2]~COUT )) & sd_start1, GLOBAL(\fclk~dataout ), , , , , )
29082
// \counter_rtl_1|wysi_counter|counter_cell[3]~COUT  = CARRY(\counter_rtl_1|wysi_counter|q [3] & (\counter_rtl_1|wysi_counter|counter_cell[2]~COUT ))
29083
 
29084
	.dataa(\counter_rtl_1|wysi_counter|q [4]),
29085
	.datab(sd_start1),
29086
	.datac(vcc),
29087
	.datad(vcc),
29088
	.aclr(gnd),
29089
	.aload(gnd),
29090
	.clk(clock),
29091
	.cin(\counter_rtl_1|wysi_counter|counter_cell[2]~COUT ),
29092
	.cascin(vcc),
29093
	.devclrn(devclrn),
29094
	.devpor(devpor),
29095
	.combout(),
29096
	.regout(\counter_rtl_1|wysi_counter|q [3]),
29097
	.cout(\counter_rtl_1|wysi_counter|counter_cell[3]~COUT ),
29098
	.cascout());
29099
// synopsys translate_off
29100
defparam \counter_rtl_1|wysi_counter|counter_cell[3] .cin_used = "true";
29101
defparam \counter_rtl_1|wysi_counter|counter_cell[3] .clock_enable_mode = "false";
29102
defparam \counter_rtl_1|wysi_counter|counter_cell[3] .lut_mask = "9ca0";
29103
defparam \counter_rtl_1|wysi_counter|counter_cell[3] .operation_mode = "clrb_cntr";
29104
defparam \counter_rtl_1|wysi_counter|counter_cell[3] .output_mode = "reg_only";
29105
defparam \counter_rtl_1|wysi_counter|counter_cell[3] .packed_mode = "false";
29106
// synopsys translate_on
29107
 
29108
// atom is at LC6_J9
29109
flex10ke_lcell \counter_rtl_1|wysi_counter|counter_cell[4] (
29110
// Equation(s):
29111
// \counter_rtl_1|wysi_counter|q [4] = DFFEA((\counter_rtl_1|wysi_counter|q [4] $ (!\counter_rtl_1|wysi_counter|q [4] & \counter_rtl_1|wysi_counter|counter_cell[3]~COUT )) & sd_start1, GLOBAL(\fclk~dataout ), , , , , )
29112
 
29113
	.dataa(\counter_rtl_1|wysi_counter|q [4]),
29114
	.datab(sd_start1),
29115
	.datac(vcc),
29116
	.datad(vcc),
29117
	.aclr(gnd),
29118
	.aload(gnd),
29119
	.clk(clock),
29120
	.cin(\counter_rtl_1|wysi_counter|counter_cell[3]~COUT ),
29121
	.cascin(vcc),
29122
	.devclrn(devclrn),
29123
	.devpor(devpor),
29124
	.combout(),
29125
	.regout(\counter_rtl_1|wysi_counter|q [4]),
29126
	.cout(),
29127
	.cascout());
29128
// synopsys translate_off
29129
defparam \counter_rtl_1|wysi_counter|counter_cell[4] .cin_used = "true";
29130
defparam \counter_rtl_1|wysi_counter|counter_cell[4] .clock_enable_mode = "false";
29131
defparam \counter_rtl_1|wysi_counter|counter_cell[4] .lut_mask = "9c9c";
29132
defparam \counter_rtl_1|wysi_counter|counter_cell[4] .operation_mode = "clrb_cntr";
29133
defparam \counter_rtl_1|wysi_counter|counter_cell[4] .output_mode = "reg_only";
29134
defparam \counter_rtl_1|wysi_counter|counter_cell[4] .packed_mode = "false";
29135
// synopsys translate_on
29136
 
29137
// atom is at LC1_J9
29138
flex10ke_lcell \dout~320_I (
29139
// Equation(s):
29140
// \dout~320  = \counter_rtl_1|wysi_counter|q [1] & \counter_rtl_1|wysi_counter|q [2] & \counter_rtl_1|wysi_counter|q [3]
29141
 
29142
	.dataa(vcc),
29143
	.datab(\counter_rtl_1|wysi_counter|q [1]),
29144
	.datac(\counter_rtl_1|wysi_counter|q [2]),
29145
	.datad(\counter_rtl_1|wysi_counter|q [3]),
29146
	.aclr(gnd),
29147
	.aload(gnd),
29148
	.clk(gnd),
29149
	.cin(gnd),
29150
	.cascin(vcc),
29151
	.devclrn(devclrn),
29152
	.devpor(devpor),
29153
	.combout(\dout~320 ),
29154
	.regout(),
29155
	.cout(),
29156
	.cascout());
29157
// synopsys translate_off
29158
defparam \dout~320_I .clock_enable_mode = "false";
29159
defparam \dout~320_I .lut_mask = "c000";
29160
defparam \dout~320_I .operation_mode = "normal";
29161
defparam \dout~320_I .output_mode = "comb_only";
29162
defparam \dout~320_I .packed_mode = "false";
29163
// synopsys translate_on
29164
 
29165
// atom is at LC8_J9
29166
flex10ke_lcell \dout~321_I (
29167
// Equation(s):
29168
// \dout~321  = !\counter_rtl_1|wysi_counter|q [4] & \dout~320  & \dout~319 
29169
 
29170
	.dataa(vcc),
29171
	.datab(\counter_rtl_1|wysi_counter|q [4]),
29172
	.datac(\dout~320 ),
29173
	.datad(\dout~319 ),
29174
	.aclr(gnd),
29175
	.aload(gnd),
29176
	.clk(gnd),
29177
	.cin(gnd),
29178
	.cascin(vcc),
29179
	.devclrn(devclrn),
29180
	.devpor(devpor),
29181
	.combout(\dout~321 ),
29182
	.regout(),
29183
	.cout(),
29184
	.cascout());
29185
// synopsys translate_off
29186
defparam \dout~321_I .clock_enable_mode = "false";
29187
defparam \dout~321_I .lut_mask = "3000";
29188
defparam \dout~321_I .operation_mode = "normal";
29189
defparam \dout~321_I .output_mode = "comb_only";
29190
defparam \dout~321_I .packed_mode = "false";
29191
// synopsys translate_on
29192
 
29193
// atom is at LC6_H4
29194
flex10ke_lcell \shiftin[0]~I (
29195
// Equation(s):
29196
// shiftin[0] = DFFEA(\sddi~dataout , GLOBAL(\fclk~dataout ), , , \dout~319 , , )
29197
 
29198
	.dataa(\dout~319 ),
29199
	.datab(vcc),
29200
	.datac(vcc),
29201
	.datad(sddi),
29202
	.aclr(gnd),
29203
	.aload(gnd),
29204
	.clk(clock),
29205
	.cin(gnd),
29206
	.cascin(vcc),
29207
	.devclrn(devclrn),
29208
	.devpor(devpor),
29209
	.combout(),
29210
	.regout(shiftin[0]),
29211
	.cout(),
29212
	.cascout());
29213
// synopsys translate_off
29214
defparam \shiftin[0]~I .clock_enable_mode = "true";
29215
defparam \shiftin[0]~I .lut_mask = "ff00";
29216
defparam \shiftin[0]~I .operation_mode = "normal";
29217
defparam \shiftin[0]~I .output_mode = "reg_only";
29218
defparam \shiftin[0]~I .packed_mode = "false";
29219
// synopsys translate_on
29220
 
29221
// atom is at LC1_H4
29222
flex10ke_lcell \shiftin[1]~I (
29223
// Equation(s):
29224
// shiftin[1] = DFFEA(shiftin[0], GLOBAL(\fclk~dataout ), , , \dout~319 , , )
29225
 
29226
	.dataa(\dout~319 ),
29227
	.datab(vcc),
29228
	.datac(vcc),
29229
	.datad(shiftin[0]),
29230
	.aclr(gnd),
29231
	.aload(gnd),
29232
	.clk(clock),
29233
	.cin(gnd),
29234
	.cascin(vcc),
29235
	.devclrn(devclrn),
29236
	.devpor(devpor),
29237
	.combout(),
29238
	.regout(shiftin[1]),
29239
	.cout(),
29240
	.cascout());
29241
// synopsys translate_off
29242
defparam \shiftin[1]~I .clock_enable_mode = "true";
29243
defparam \shiftin[1]~I .lut_mask = "ff00";
29244
defparam \shiftin[1]~I .operation_mode = "normal";
29245
defparam \shiftin[1]~I .output_mode = "reg_only";
29246
defparam \shiftin[1]~I .packed_mode = "false";
29247
// synopsys translate_on
29248
 
29249
// atom is at LC7_H15
29250
flex10ke_lcell \shiftin[2]~I (
29251
// Equation(s):
29252
// shiftin[2] = DFFEA(shiftin[1], GLOBAL(\fclk~dataout ), , , \dout~319 , , )
29253
 
29254
	.dataa(\dout~319 ),
29255
	.datab(vcc),
29256
	.datac(vcc),
29257
	.datad(shiftin[1]),
29258
	.aclr(gnd),
29259
	.aload(gnd),
29260
	.clk(clock),
29261
	.cin(gnd),
29262
	.cascin(vcc),
29263
	.devclrn(devclrn),
29264
	.devpor(devpor),
29265
	.combout(),
29266
	.regout(shiftin[2]),
29267
	.cout(),
29268
	.cascout());
29269
// synopsys translate_off
29270
defparam \shiftin[2]~I .clock_enable_mode = "true";
29271
defparam \shiftin[2]~I .lut_mask = "ff00";
29272
defparam \shiftin[2]~I .operation_mode = "normal";
29273
defparam \shiftin[2]~I .output_mode = "reg_only";
29274
defparam \shiftin[2]~I .packed_mode = "false";
29275
// synopsys translate_on
29276
 
29277
// atom is at LC2_H15
29278
flex10ke_lcell \shiftin[3]~I (
29279
// Equation(s):
29280
// shiftin[3] = DFFEA(shiftin[2], GLOBAL(\fclk~dataout ), , , \dout~319 , , )
29281
 
29282
	.dataa(\dout~319 ),
29283
	.datab(vcc),
29284
	.datac(vcc),
29285
	.datad(shiftin[2]),
29286
	.aclr(gnd),
29287
	.aload(gnd),
29288
	.clk(clock),
29289
	.cin(gnd),
29290
	.cascin(vcc),
29291
	.devclrn(devclrn),
29292
	.devpor(devpor),
29293
	.combout(),
29294
	.regout(shiftin[3]),
29295
	.cout(),
29296
	.cascout());
29297
// synopsys translate_off
29298
defparam \shiftin[3]~I .clock_enable_mode = "true";
29299
defparam \shiftin[3]~I .lut_mask = "ff00";
29300
defparam \shiftin[3]~I .operation_mode = "normal";
29301
defparam \shiftin[3]~I .output_mode = "reg_only";
29302
defparam \shiftin[3]~I .packed_mode = "false";
29303
// synopsys translate_on
29304
 
29305
// atom is at LC7_H4
29306
flex10ke_lcell \shiftin[4]~I (
29307
// Equation(s):
29308
// shiftin[4] = DFFEA(shiftin[3], GLOBAL(\fclk~dataout ), , , \dout~319 , , )
29309
 
29310
	.dataa(\dout~319 ),
29311
	.datab(vcc),
29312
	.datac(vcc),
29313
	.datad(shiftin[3]),
29314
	.aclr(gnd),
29315
	.aload(gnd),
29316
	.clk(clock),
29317
	.cin(gnd),
29318
	.cascin(vcc),
29319
	.devclrn(devclrn),
29320
	.devpor(devpor),
29321
	.combout(),
29322
	.regout(shiftin[4]),
29323
	.cout(),
29324
	.cascout());
29325
// synopsys translate_off
29326
defparam \shiftin[4]~I .clock_enable_mode = "true";
29327
defparam \shiftin[4]~I .lut_mask = "ff00";
29328
defparam \shiftin[4]~I .operation_mode = "normal";
29329
defparam \shiftin[4]~I .output_mode = "reg_only";
29330
defparam \shiftin[4]~I .packed_mode = "false";
29331
// synopsys translate_on
29332
 
29333
// atom is at LC8_H4
29334
flex10ke_lcell \shiftin[5]~I (
29335
// Equation(s):
29336
// shiftin[5] = DFFEA(shiftin[4], GLOBAL(\fclk~dataout ), , , \dout~319 , , )
29337
 
29338
	.dataa(\dout~319 ),
29339
	.datab(vcc),
29340
	.datac(vcc),
29341
	.datad(shiftin[4]),
29342
	.aclr(gnd),
29343
	.aload(gnd),
29344
	.clk(clock),
29345
	.cin(gnd),
29346
	.cascin(vcc),
29347
	.devclrn(devclrn),
29348
	.devpor(devpor),
29349
	.combout(),
29350
	.regout(shiftin[5]),
29351
	.cout(),
29352
	.cascout());
29353
// synopsys translate_off
29354
defparam \shiftin[5]~I .clock_enable_mode = "true";
29355
defparam \shiftin[5]~I .lut_mask = "ff00";
29356
defparam \shiftin[5]~I .operation_mode = "normal";
29357
defparam \shiftin[5]~I .output_mode = "reg_only";
29358
defparam \shiftin[5]~I .packed_mode = "false";
29359
// synopsys translate_on
29360
 
29361
// atom is at LC2_H4
29362
flex10ke_lcell \shiftin[6]~I (
29363
// Equation(s):
29364
// shiftin[6] = DFFEA(shiftin[5], GLOBAL(\fclk~dataout ), , , \dout~319 , , )
29365
 
29366
	.dataa(\dout~319 ),
29367
	.datab(vcc),
29368
	.datac(vcc),
29369
	.datad(shiftin[5]),
29370
	.aclr(gnd),
29371
	.aload(gnd),
29372
	.clk(clock),
29373
	.cin(gnd),
29374
	.cascin(vcc),
29375
	.devclrn(devclrn),
29376
	.devpor(devpor),
29377
	.combout(),
29378
	.regout(shiftin[6]),
29379
	.cout(),
29380
	.cascout());
29381
// synopsys translate_off
29382
defparam \shiftin[6]~I .clock_enable_mode = "true";
29383
defparam \shiftin[6]~I .lut_mask = "ff00";
29384
defparam \shiftin[6]~I .operation_mode = "normal";
29385
defparam \shiftin[6]~I .output_mode = "reg_only";
29386
defparam \shiftin[6]~I .packed_mode = "false";
29387
// synopsys translate_on
29388
 
29389
endmodule
29390
 
29391
module synch (
29392
	hpix,
29393
	hblank,
29394
	hsync,
29395
	pre_cend,
29396
	line_start,
29397
	cend,
29398
	hsync_start,
29399
	hint_start,
29400
	clk,
29401
	line_start1,
29402
	devpor,
29403
	devclrn,
29404
	devoe);
29405
output 	hpix;
29406
output 	hblank;
29407
output 	hsync;
29408
input 	pre_cend;
29409
output 	line_start;
29410
input 	cend;
29411
output 	hsync_start;
29412
output 	hint_start;
29413
input 	clk;
29414
output 	line_start1;
29415
input 	devpor;
29416
input 	devclrn;
29417
input 	devoe;
29418
 
29419
wire gnd = 1'b0;
29420
wire vcc = 1'b1;
29421
 
29422
wire \Equal3~47 ;
29423
wire \Equal0~51 ;
29424
wire \Equal0~52 ;
29425
wire \Equal1~46 ;
29426
wire \Equal1~47 ;
29427
wire \hpix~66 ;
29428
wire \Equal2~60 ;
29429
wire \Equal1~48 ;
29430
wire \Equal2~61 ;
29431
wire \Equal1~49 ;
29432
wire \Equal4~23 ;
29433
wire \Equal3~46 ;
29434
wire \line_start~189 ;
29435
wire [8:0] hcount;
29436
wire [8:0] \Add0|adder|result_node|cs_buffer ;
29437
wire [8:0] \Add0|adder|result_node|cout ;
29438
wire [8:0] \Add0|adder|unreg_res_node ;
29439
 
29440
 
29441
// atom is at LC2_H13
29442
flex10ke_lcell \hpix~I (
29443
// Equation(s):
29444
// hpix = DFFEA(\hpix~66  & !hcount[8] # !\hpix~66  & (hpix), GLOBAL(\fclk~dataout ), , , cend, , )
29445
 
29446
	.dataa(cend),
29447
	.datab(hcount[8]),
29448
	.datac(\hpix~66 ),
29449
	.datad(hpix),
29450
	.aclr(gnd),
29451
	.aload(gnd),
29452
	.clk(clk),
29453
	.cin(gnd),
29454
	.cascin(vcc),
29455
	.devclrn(devclrn),
29456
	.devpor(devpor),
29457
	.combout(),
29458
	.regout(hpix),
29459
	.cout(),
29460
	.cascout());
29461
// synopsys translate_off
29462
defparam \hpix~I .clock_enable_mode = "true";
29463
defparam \hpix~I .lut_mask = "3f30";
29464
defparam \hpix~I .operation_mode = "normal";
29465
defparam \hpix~I .output_mode = "reg_only";
29466
defparam \hpix~I .packed_mode = "false";
29467
// synopsys translate_on
29468
 
29469
// atom is at LC5_H13
29470
flex10ke_lcell \hblank~I (
29471
// Equation(s):
29472
// hblank = DFFEA(\Equal1~49  # !\Equal2~61  & hblank, GLOBAL(\fclk~dataout ), , , cend, , )
29473
 
29474
	.dataa(cend),
29475
	.datab(\Equal2~61 ),
29476
	.datac(\Equal1~49 ),
29477
	.datad(hblank),
29478
	.aclr(gnd),
29479
	.aload(gnd),
29480
	.clk(clk),
29481
	.cin(gnd),
29482
	.cascin(vcc),
29483
	.devclrn(devclrn),
29484
	.devpor(devpor),
29485
	.combout(),
29486
	.regout(hblank),
29487
	.cout(),
29488
	.cascout());
29489
// synopsys translate_off
29490
defparam \hblank~I .clock_enable_mode = "true";
29491
defparam \hblank~I .lut_mask = "f3f0";
29492
defparam \hblank~I .operation_mode = "normal";
29493
defparam \hblank~I .output_mode = "reg_only";
29494
defparam \hblank~I .packed_mode = "false";
29495
// synopsys translate_on
29496
 
29497
// atom is at LC4_H7
29498
flex10ke_lcell \hsync~I (
29499
// Equation(s):
29500
// hsync = DFFEA(\Equal3~46  # !\Equal4~23  & hsync, GLOBAL(\fclk~dataout ), , , cend, , )
29501
 
29502
	.dataa(cend),
29503
	.datab(\Equal4~23 ),
29504
	.datac(\Equal3~46 ),
29505
	.datad(hsync),
29506
	.aclr(gnd),
29507
	.aload(gnd),
29508
	.clk(clk),
29509
	.cin(gnd),
29510
	.cascin(vcc),
29511
	.devclrn(devclrn),
29512
	.devpor(devpor),
29513
	.combout(),
29514
	.regout(hsync),
29515
	.cout(),
29516
	.cascout());
29517
// synopsys translate_off
29518
defparam \hsync~I .clock_enable_mode = "true";
29519
defparam \hsync~I .lut_mask = "f3f0";
29520
defparam \hsync~I .operation_mode = "normal";
29521
defparam \hsync~I .output_mode = "reg_only";
29522
defparam \hsync~I .packed_mode = "false";
29523
// synopsys translate_on
29524
 
29525
// atom is at LC3_H13
29526
flex10ke_lcell \line_start~I (
29527
// Equation(s):
29528
// line_start = DFFEA(pre_cend, GLOBAL(\fclk~dataout ), , , \line_start~189 , , )
29529
 
29530
	.dataa(\line_start~189 ),
29531
	.datab(vcc),
29532
	.datac(vcc),
29533
	.datad(pre_cend),
29534
	.aclr(gnd),
29535
	.aload(gnd),
29536
	.clk(clk),
29537
	.cin(gnd),
29538
	.cascin(vcc),
29539
	.devclrn(devclrn),
29540
	.devpor(devpor),
29541
	.combout(),
29542
	.regout(line_start),
29543
	.cout(),
29544
	.cascout());
29545
// synopsys translate_off
29546
defparam \line_start~I .clock_enable_mode = "true";
29547
defparam \line_start~I .lut_mask = "ff00";
29548
defparam \line_start~I .operation_mode = "normal";
29549
defparam \line_start~I .output_mode = "reg_only";
29550
defparam \line_start~I .packed_mode = "false";
29551
// synopsys translate_on
29552
 
29553
// atom is at LC2_J11
29554
flex10ke_lcell \hsync_start~I (
29555
// Equation(s):
29556
// hsync_start = DFFEA(pre_cend & (\Equal3~46  # hsync_start), GLOBAL(\fclk~dataout ), , , , , )
29557
 
29558
	.dataa(vcc),
29559
	.datab(\Equal3~46 ),
29560
	.datac(hsync_start),
29561
	.datad(pre_cend),
29562
	.aclr(gnd),
29563
	.aload(gnd),
29564
	.clk(clk),
29565
	.cin(gnd),
29566
	.cascin(vcc),
29567
	.devclrn(devclrn),
29568
	.devpor(devpor),
29569
	.combout(),
29570
	.regout(hsync_start),
29571
	.cout(),
29572
	.cascout());
29573
// synopsys translate_off
29574
defparam \hsync_start~I .clock_enable_mode = "false";
29575
defparam \hsync_start~I .lut_mask = "fc00";
29576
defparam \hsync_start~I .operation_mode = "normal";
29577
defparam \hsync_start~I .output_mode = "reg_only";
29578
defparam \hsync_start~I .packed_mode = "false";
29579
// synopsys translate_on
29580
 
29581
// atom is at LC5_H7
29582
flex10ke_lcell \hint_start~I (
29583
// Equation(s):
29584
// hint_start = DFFEA(!hcount[2] & pre_cend & \Equal0~52 , GLOBAL(\fclk~dataout ), , , , , )
29585
 
29586
	.dataa(vcc),
29587
	.datab(hcount[2]),
29588
	.datac(pre_cend),
29589
	.datad(\Equal0~52 ),
29590
	.aclr(gnd),
29591
	.aload(gnd),
29592
	.clk(clk),
29593
	.cin(gnd),
29594
	.cascin(vcc),
29595
	.devclrn(devclrn),
29596
	.devpor(devpor),
29597
	.combout(),
29598
	.regout(hint_start),
29599
	.cout(),
29600
	.cascout());
29601
// synopsys translate_off
29602
defparam \hint_start~I .clock_enable_mode = "false";
29603
defparam \hint_start~I .lut_mask = "3000";
29604
defparam \hint_start~I .operation_mode = "normal";
29605
defparam \hint_start~I .output_mode = "reg_only";
29606
defparam \hint_start~I .packed_mode = "false";
29607
// synopsys translate_on
29608
 
29609
// atom is at LC2_J19
29610
flex10ke_lcell \line_start~_wirecell_I (
29611
// Equation(s):
29612
// line_start1 = !line_start
29613
 
29614
	.dataa(vcc),
29615
	.datab(vcc),
29616
	.datac(vcc),
29617
	.datad(line_start),
29618
	.aclr(gnd),
29619
	.aload(gnd),
29620
	.clk(gnd),
29621
	.cin(gnd),
29622
	.cascin(vcc),
29623
	.devclrn(devclrn),
29624
	.devpor(devpor),
29625
	.combout(line_start1),
29626
	.regout(),
29627
	.cout(),
29628
	.cascout());
29629
// synopsys translate_off
29630
defparam \line_start~_wirecell_I .clock_enable_mode = "false";
29631
defparam \line_start~_wirecell_I .lut_mask = "00ff";
29632
defparam \line_start~_wirecell_I .operation_mode = "normal";
29633
defparam \line_start~_wirecell_I .output_mode = "comb_only";
29634
defparam \line_start~_wirecell_I .packed_mode = "false";
29635
// synopsys translate_on
29636
 
29637
// atom is at LC5_H16
29638
flex10ke_lcell \hcount[0]~I (
29639
// Equation(s):
29640
// hcount[0] = DFFEA(!hcount[0], GLOBAL(\fclk~dataout ), , , cend, , )
29641
// \Add0|adder|result_node|cout [0] = CARRY(hcount[0])
29642
 
29643
	.dataa(cend),
29644
	.datab(hcount[0]),
29645
	.datac(vcc),
29646
	.datad(vcc),
29647
	.aclr(gnd),
29648
	.aload(gnd),
29649
	.clk(clk),
29650
	.cin(gnd),
29651
	.cascin(vcc),
29652
	.devclrn(devclrn),
29653
	.devpor(devpor),
29654
	.combout(),
29655
	.regout(hcount[0]),
29656
	.cout(\Add0|adder|result_node|cout [0]),
29657
	.cascout());
29658
// synopsys translate_off
29659
defparam \hcount[0]~I .clock_enable_mode = "true";
29660
defparam \hcount[0]~I .lut_mask = "33cc";
29661
defparam \hcount[0]~I .operation_mode = "arithmetic";
29662
defparam \hcount[0]~I .output_mode = "reg_only";
29663
defparam \hcount[0]~I .packed_mode = "false";
29664
// synopsys translate_on
29665
 
29666
// atom is at LC6_H16
29667
flex10ke_lcell \Add0|adder|result_node|cs_buffer[1]~I (
29668
// Equation(s):
29669
// \Add0|adder|result_node|cs_buffer [1] = hcount[1] $ \Add0|adder|result_node|cout [0]
29670
// \Add0|adder|result_node|cout [1] = CARRY(hcount[1] & \Add0|adder|result_node|cout [0])
29671
 
29672
	.dataa(vcc),
29673
	.datab(hcount[1]),
29674
	.datac(vcc),
29675
	.datad(vcc),
29676
	.aclr(gnd),
29677
	.aload(gnd),
29678
	.clk(gnd),
29679
	.cin(\Add0|adder|result_node|cout [0]),
29680
	.cascin(vcc),
29681
	.devclrn(devclrn),
29682
	.devpor(devpor),
29683
	.combout(\Add0|adder|result_node|cs_buffer [1]),
29684
	.regout(),
29685
	.cout(\Add0|adder|result_node|cout [1]),
29686
	.cascout());
29687
// synopsys translate_off
29688
defparam \Add0|adder|result_node|cs_buffer[1]~I .cin_used = "true";
29689
defparam \Add0|adder|result_node|cs_buffer[1]~I .clock_enable_mode = "false";
29690
defparam \Add0|adder|result_node|cs_buffer[1]~I .lut_mask = "3cc0";
29691
defparam \Add0|adder|result_node|cs_buffer[1]~I .operation_mode = "arithmetic";
29692
defparam \Add0|adder|result_node|cs_buffer[1]~I .output_mode = "comb_only";
29693
defparam \Add0|adder|result_node|cs_buffer[1]~I .packed_mode = "false";
29694
// synopsys translate_on
29695
 
29696
// atom is at LC2_H16
29697
flex10ke_lcell \hcount[1]~I (
29698
// Equation(s):
29699
// hcount[1] = DFFEA(\Add0|adder|result_node|cs_buffer [1], GLOBAL(\fclk~dataout ), , , cend, , )
29700
 
29701
	.dataa(cend),
29702
	.datab(vcc),
29703
	.datac(vcc),
29704
	.datad(\Add0|adder|result_node|cs_buffer [1]),
29705
	.aclr(gnd),
29706
	.aload(gnd),
29707
	.clk(clk),
29708
	.cin(gnd),
29709
	.cascin(vcc),
29710
	.devclrn(devclrn),
29711
	.devpor(devpor),
29712
	.combout(),
29713
	.regout(hcount[1]),
29714
	.cout(),
29715
	.cascout());
29716
// synopsys translate_off
29717
defparam \hcount[1]~I .clock_enable_mode = "true";
29718
defparam \hcount[1]~I .lut_mask = "ff00";
29719
defparam \hcount[1]~I .operation_mode = "normal";
29720
defparam \hcount[1]~I .output_mode = "reg_only";
29721
defparam \hcount[1]~I .packed_mode = "false";
29722
// synopsys translate_on
29723
 
29724
// atom is at LC7_H16
29725
flex10ke_lcell \Add0|adder|result_node|cs_buffer[2]~I (
29726
// Equation(s):
29727
// \Add0|adder|result_node|cs_buffer [2] = hcount[2] $ \Add0|adder|result_node|cout [1]
29728
// \Add0|adder|result_node|cout [2] = CARRY(hcount[2] & \Add0|adder|result_node|cout [1])
29729
 
29730
	.dataa(vcc),
29731
	.datab(hcount[2]),
29732
	.datac(vcc),
29733
	.datad(vcc),
29734
	.aclr(gnd),
29735
	.aload(gnd),
29736
	.clk(gnd),
29737
	.cin(\Add0|adder|result_node|cout [1]),
29738
	.cascin(vcc),
29739
	.devclrn(devclrn),
29740
	.devpor(devpor),
29741
	.combout(\Add0|adder|result_node|cs_buffer [2]),
29742
	.regout(),
29743
	.cout(\Add0|adder|result_node|cout [2]),
29744
	.cascout());
29745
// synopsys translate_off
29746
defparam \Add0|adder|result_node|cs_buffer[2]~I .cin_used = "true";
29747
defparam \Add0|adder|result_node|cs_buffer[2]~I .clock_enable_mode = "false";
29748
defparam \Add0|adder|result_node|cs_buffer[2]~I .lut_mask = "3cc0";
29749
defparam \Add0|adder|result_node|cs_buffer[2]~I .operation_mode = "arithmetic";
29750
defparam \Add0|adder|result_node|cs_buffer[2]~I .output_mode = "comb_only";
29751
defparam \Add0|adder|result_node|cs_buffer[2]~I .packed_mode = "false";
29752
// synopsys translate_on
29753
 
29754
// atom is at LC3_H16
29755
flex10ke_lcell \hcount[2]~I (
29756
// Equation(s):
29757
// hcount[2] = DFFEA(\Add0|adder|result_node|cs_buffer [2], GLOBAL(\fclk~dataout ), , , cend, , )
29758
 
29759
	.dataa(cend),
29760
	.datab(vcc),
29761
	.datac(vcc),
29762
	.datad(\Add0|adder|result_node|cs_buffer [2]),
29763
	.aclr(gnd),
29764
	.aload(gnd),
29765
	.clk(clk),
29766
	.cin(gnd),
29767
	.cascin(vcc),
29768
	.devclrn(devclrn),
29769
	.devpor(devpor),
29770
	.combout(),
29771
	.regout(hcount[2]),
29772
	.cout(),
29773
	.cascout());
29774
// synopsys translate_off
29775
defparam \hcount[2]~I .clock_enable_mode = "true";
29776
defparam \hcount[2]~I .lut_mask = "ff00";
29777
defparam \hcount[2]~I .operation_mode = "normal";
29778
defparam \hcount[2]~I .output_mode = "reg_only";
29779
defparam \hcount[2]~I .packed_mode = "false";
29780
// synopsys translate_on
29781
 
29782
// atom is at LC8_H16
29783
flex10ke_lcell \Add0|adder|result_node|cs_buffer[3]~I (
29784
// Equation(s):
29785
// \Add0|adder|result_node|cs_buffer [3] = hcount[3] $ \Add0|adder|result_node|cout [2]
29786
// \Add0|adder|result_node|cout [3] = CARRY(hcount[3] & \Add0|adder|result_node|cout [2])
29787
 
29788
	.dataa(vcc),
29789
	.datab(hcount[3]),
29790
	.datac(vcc),
29791
	.datad(vcc),
29792
	.aclr(gnd),
29793
	.aload(gnd),
29794
	.clk(gnd),
29795
	.cin(\Add0|adder|result_node|cout [2]),
29796
	.cascin(vcc),
29797
	.devclrn(devclrn),
29798
	.devpor(devpor),
29799
	.combout(\Add0|adder|result_node|cs_buffer [3]),
29800
	.regout(),
29801
	.cout(\Add0|adder|result_node|cout [3]),
29802
	.cascout());
29803
// synopsys translate_off
29804
defparam \Add0|adder|result_node|cs_buffer[3]~I .cin_used = "true";
29805
defparam \Add0|adder|result_node|cs_buffer[3]~I .clock_enable_mode = "false";
29806
defparam \Add0|adder|result_node|cs_buffer[3]~I .lut_mask = "3cc0";
29807
defparam \Add0|adder|result_node|cs_buffer[3]~I .operation_mode = "arithmetic";
29808
defparam \Add0|adder|result_node|cs_buffer[3]~I .output_mode = "comb_only";
29809
defparam \Add0|adder|result_node|cs_buffer[3]~I .packed_mode = "false";
29810
// synopsys translate_on
29811
 
29812
// atom is at LC4_H16
29813
flex10ke_lcell \hcount[3]~I (
29814
// Equation(s):
29815
// hcount[3] = DFFEA(\Add0|adder|result_node|cs_buffer [3], GLOBAL(\fclk~dataout ), , , cend, , )
29816
 
29817
	.dataa(cend),
29818
	.datab(vcc),
29819
	.datac(vcc),
29820
	.datad(\Add0|adder|result_node|cs_buffer [3]),
29821
	.aclr(gnd),
29822
	.aload(gnd),
29823
	.clk(clk),
29824
	.cin(gnd),
29825
	.cascin(vcc),
29826
	.devclrn(devclrn),
29827
	.devpor(devpor),
29828
	.combout(),
29829
	.regout(hcount[3]),
29830
	.cout(),
29831
	.cascout());
29832
// synopsys translate_off
29833
defparam \hcount[3]~I .clock_enable_mode = "true";
29834
defparam \hcount[3]~I .lut_mask = "ff00";
29835
defparam \hcount[3]~I .operation_mode = "normal";
29836
defparam \hcount[3]~I .output_mode = "reg_only";
29837
defparam \hcount[3]~I .packed_mode = "false";
29838
// synopsys translate_on
29839
 
29840
// atom is at LC1_H18
29841
flex10ke_lcell \Add0|adder|result_node|cs_buffer[4]~I (
29842
// Equation(s):
29843
// \Add0|adder|result_node|cs_buffer [4] = hcount[4] $ \Add0|adder|result_node|cout [3]
29844
// \Add0|adder|result_node|cout [4] = CARRY(hcount[4] & \Add0|adder|result_node|cout [3])
29845
 
29846
	.dataa(vcc),
29847
	.datab(hcount[4]),
29848
	.datac(vcc),
29849
	.datad(vcc),
29850
	.aclr(gnd),
29851
	.aload(gnd),
29852
	.clk(gnd),
29853
	.cin(\Add0|adder|result_node|cout [3]),
29854
	.cascin(vcc),
29855
	.devclrn(devclrn),
29856
	.devpor(devpor),
29857
	.combout(\Add0|adder|result_node|cs_buffer [4]),
29858
	.regout(),
29859
	.cout(\Add0|adder|result_node|cout [4]),
29860
	.cascout());
29861
// synopsys translate_off
29862
defparam \Add0|adder|result_node|cs_buffer[4]~I .cin_used = "true";
29863
defparam \Add0|adder|result_node|cs_buffer[4]~I .clock_enable_mode = "false";
29864
defparam \Add0|adder|result_node|cs_buffer[4]~I .lut_mask = "3cc0";
29865
defparam \Add0|adder|result_node|cs_buffer[4]~I .operation_mode = "arithmetic";
29866
defparam \Add0|adder|result_node|cs_buffer[4]~I .output_mode = "comb_only";
29867
defparam \Add0|adder|result_node|cs_buffer[4]~I .packed_mode = "false";
29868
// synopsys translate_on
29869
 
29870
// atom is at LC6_H18
29871
flex10ke_lcell \hcount[4]~I (
29872
// Equation(s):
29873
// hcount[4] = DFFEA(\Add0|adder|result_node|cs_buffer [4], GLOBAL(\fclk~dataout ), , , cend, , )
29874
 
29875
	.dataa(cend),
29876
	.datab(vcc),
29877
	.datac(vcc),
29878
	.datad(\Add0|adder|result_node|cs_buffer [4]),
29879
	.aclr(gnd),
29880
	.aload(gnd),
29881
	.clk(clk),
29882
	.cin(gnd),
29883
	.cascin(vcc),
29884
	.devclrn(devclrn),
29885
	.devpor(devpor),
29886
	.combout(),
29887
	.regout(hcount[4]),
29888
	.cout(),
29889
	.cascout());
29890
// synopsys translate_off
29891
defparam \hcount[4]~I .clock_enable_mode = "true";
29892
defparam \hcount[4]~I .lut_mask = "ff00";
29893
defparam \hcount[4]~I .operation_mode = "normal";
29894
defparam \hcount[4]~I .output_mode = "reg_only";
29895
defparam \hcount[4]~I .packed_mode = "false";
29896
// synopsys translate_on
29897
 
29898
// atom is at LC2_H18
29899
flex10ke_lcell \Add0|adder|result_node|cs_buffer[5]~I (
29900
// Equation(s):
29901
// \Add0|adder|result_node|cs_buffer [5] = hcount[5] $ \Add0|adder|result_node|cout [4]
29902
// \Add0|adder|result_node|cout [5] = CARRY(hcount[5] & \Add0|adder|result_node|cout [4])
29903
 
29904
	.dataa(vcc),
29905
	.datab(hcount[5]),
29906
	.datac(vcc),
29907
	.datad(vcc),
29908
	.aclr(gnd),
29909
	.aload(gnd),
29910
	.clk(gnd),
29911
	.cin(\Add0|adder|result_node|cout [4]),
29912
	.cascin(vcc),
29913
	.devclrn(devclrn),
29914
	.devpor(devpor),
29915
	.combout(\Add0|adder|result_node|cs_buffer [5]),
29916
	.regout(),
29917
	.cout(\Add0|adder|result_node|cout [5]),
29918
	.cascout());
29919
// synopsys translate_off
29920
defparam \Add0|adder|result_node|cs_buffer[5]~I .cin_used = "true";
29921
defparam \Add0|adder|result_node|cs_buffer[5]~I .clock_enable_mode = "false";
29922
defparam \Add0|adder|result_node|cs_buffer[5]~I .lut_mask = "3cc0";
29923
defparam \Add0|adder|result_node|cs_buffer[5]~I .operation_mode = "arithmetic";
29924
defparam \Add0|adder|result_node|cs_buffer[5]~I .output_mode = "comb_only";
29925
defparam \Add0|adder|result_node|cs_buffer[5]~I .packed_mode = "false";
29926
// synopsys translate_on
29927
 
29928
// atom is at LC7_H18
29929
flex10ke_lcell \hcount[5]~I (
29930
// Equation(s):
29931
// hcount[5] = DFFEA(\Add0|adder|result_node|cs_buffer [5], GLOBAL(\fclk~dataout ), , , cend, , )
29932
 
29933
	.dataa(cend),
29934
	.datab(vcc),
29935
	.datac(vcc),
29936
	.datad(\Add0|adder|result_node|cs_buffer [5]),
29937
	.aclr(gnd),
29938
	.aload(gnd),
29939
	.clk(clk),
29940
	.cin(gnd),
29941
	.cascin(vcc),
29942
	.devclrn(devclrn),
29943
	.devpor(devpor),
29944
	.combout(),
29945
	.regout(hcount[5]),
29946
	.cout(),
29947
	.cascout());
29948
// synopsys translate_off
29949
defparam \hcount[5]~I .clock_enable_mode = "true";
29950
defparam \hcount[5]~I .lut_mask = "ff00";
29951
defparam \hcount[5]~I .operation_mode = "normal";
29952
defparam \hcount[5]~I .output_mode = "reg_only";
29953
defparam \hcount[5]~I .packed_mode = "false";
29954
// synopsys translate_on
29955
 
29956
// atom is at LC7_H9
29957
flex10ke_lcell \Equal3~47_I (
29958
// Equation(s):
29959
// \Equal3~47  = hcount[3] & hcount[1]
29960
 
29961
	.dataa(vcc),
29962
	.datab(vcc),
29963
	.datac(hcount[3]),
29964
	.datad(hcount[1]),
29965
	.aclr(gnd),
29966
	.aload(gnd),
29967
	.clk(gnd),
29968
	.cin(gnd),
29969
	.cascin(vcc),
29970
	.devclrn(devclrn),
29971
	.devpor(devpor),
29972
	.combout(\Equal3~47 ),
29973
	.regout(),
29974
	.cout(),
29975
	.cascout());
29976
// synopsys translate_off
29977
defparam \Equal3~47_I .clock_enable_mode = "false";
29978
defparam \Equal3~47_I .lut_mask = "f000";
29979
defparam \Equal3~47_I .operation_mode = "normal";
29980
defparam \Equal3~47_I .output_mode = "comb_only";
29981
defparam \Equal3~47_I .packed_mode = "false";
29982
// synopsys translate_on
29983
 
29984
// atom is at LC4_H9
29985
flex10ke_lcell \Equal0~51_I (
29986
// Equation(s):
29987
// \Equal0~51  = !hcount[6] & hcount[5] & \Equal3~47  & hcount[0]
29988
 
29989
	.dataa(hcount[6]),
29990
	.datab(hcount[5]),
29991
	.datac(\Equal3~47 ),
29992
	.datad(hcount[0]),
29993
	.aclr(gnd),
29994
	.aload(gnd),
29995
	.clk(gnd),
29996
	.cin(gnd),
29997
	.cascin(vcc),
29998
	.devclrn(devclrn),
29999
	.devpor(devpor),
30000
	.combout(\Equal0~51 ),
30001
	.regout(),
30002
	.cout(),
30003
	.cascout());
30004
// synopsys translate_off
30005
defparam \Equal0~51_I .clock_enable_mode = "false";
30006
defparam \Equal0~51_I .lut_mask = "4000";
30007
defparam \Equal0~51_I .operation_mode = "normal";
30008
defparam \Equal0~51_I .output_mode = "comb_only";
30009
defparam \Equal0~51_I .packed_mode = "false";
30010
// synopsys translate_on
30011
 
30012
// atom is at LC7_H7
30013
flex10ke_lcell \Equal0~52_I (
30014
// Equation(s):
30015
// \Equal0~52  = hcount[7] & hcount[4] & hcount[8] & \Equal0~51 
30016
 
30017
	.dataa(hcount[7]),
30018
	.datab(hcount[4]),
30019
	.datac(hcount[8]),
30020
	.datad(\Equal0~51 ),
30021
	.aclr(gnd),
30022
	.aload(gnd),
30023
	.clk(gnd),
30024
	.cin(gnd),
30025
	.cascin(vcc),
30026
	.devclrn(devclrn),
30027
	.devpor(devpor),
30028
	.combout(\Equal0~52 ),
30029
	.regout(),
30030
	.cout(),
30031
	.cascout());
30032
// synopsys translate_off
30033
defparam \Equal0~52_I .clock_enable_mode = "false";
30034
defparam \Equal0~52_I .lut_mask = "8000";
30035
defparam \Equal0~52_I .operation_mode = "normal";
30036
defparam \Equal0~52_I .output_mode = "comb_only";
30037
defparam \Equal0~52_I .packed_mode = "false";
30038
// synopsys translate_on
30039
 
30040
// atom is at LC3_H18
30041
flex10ke_lcell \Add0|adder|result_node|cs_buffer[6]~I (
30042
// Equation(s):
30043
// \Add0|adder|result_node|cs_buffer [6] = hcount[6] $ \Add0|adder|result_node|cout [5]
30044
// \Add0|adder|result_node|cout [6] = CARRY(hcount[6] & \Add0|adder|result_node|cout [5])
30045
 
30046
	.dataa(vcc),
30047
	.datab(hcount[6]),
30048
	.datac(vcc),
30049
	.datad(vcc),
30050
	.aclr(gnd),
30051
	.aload(gnd),
30052
	.clk(gnd),
30053
	.cin(\Add0|adder|result_node|cout [5]),
30054
	.cascin(vcc),
30055
	.devclrn(devclrn),
30056
	.devpor(devpor),
30057
	.combout(\Add0|adder|result_node|cs_buffer [6]),
30058
	.regout(),
30059
	.cout(\Add0|adder|result_node|cout [6]),
30060
	.cascout());
30061
// synopsys translate_off
30062
defparam \Add0|adder|result_node|cs_buffer[6]~I .cin_used = "true";
30063
defparam \Add0|adder|result_node|cs_buffer[6]~I .clock_enable_mode = "false";
30064
defparam \Add0|adder|result_node|cs_buffer[6]~I .lut_mask = "3cc0";
30065
defparam \Add0|adder|result_node|cs_buffer[6]~I .operation_mode = "arithmetic";
30066
defparam \Add0|adder|result_node|cs_buffer[6]~I .output_mode = "comb_only";
30067
defparam \Add0|adder|result_node|cs_buffer[6]~I .packed_mode = "false";
30068
// synopsys translate_on
30069
 
30070
// atom is at LC1_H7
30071
flex10ke_lcell \hcount[6]~I (
30072
// Equation(s):
30073
// hcount[6] = DFFEA(\Add0|adder|result_node|cs_buffer [6] & (!hcount[2] # !\Equal0~52 ), GLOBAL(\fclk~dataout ), , , cend, , )
30074
 
30075
	.dataa(cend),
30076
	.datab(\Equal0~52 ),
30077
	.datac(hcount[2]),
30078
	.datad(\Add0|adder|result_node|cs_buffer [6]),
30079
	.aclr(gnd),
30080
	.aload(gnd),
30081
	.clk(clk),
30082
	.cin(gnd),
30083
	.cascin(vcc),
30084
	.devclrn(devclrn),
30085
	.devpor(devpor),
30086
	.combout(),
30087
	.regout(hcount[6]),
30088
	.cout(),
30089
	.cascout());
30090
// synopsys translate_off
30091
defparam \hcount[6]~I .clock_enable_mode = "true";
30092
defparam \hcount[6]~I .lut_mask = "3f00";
30093
defparam \hcount[6]~I .operation_mode = "normal";
30094
defparam \hcount[6]~I .output_mode = "reg_only";
30095
defparam \hcount[6]~I .packed_mode = "false";
30096
// synopsys translate_on
30097
 
30098
// atom is at LC4_H18
30099
flex10ke_lcell \Add0|adder|result_node|cs_buffer[7]~I (
30100
// Equation(s):
30101
// \Add0|adder|result_node|cs_buffer [7] = hcount[7] $ \Add0|adder|result_node|cout [6]
30102
// \Add0|adder|result_node|cout [7] = CARRY(hcount[7] & \Add0|adder|result_node|cout [6])
30103
 
30104
	.dataa(vcc),
30105
	.datab(hcount[7]),
30106
	.datac(vcc),
30107
	.datad(vcc),
30108
	.aclr(gnd),
30109
	.aload(gnd),
30110
	.clk(gnd),
30111
	.cin(\Add0|adder|result_node|cout [6]),
30112
	.cascin(vcc),
30113
	.devclrn(devclrn),
30114
	.devpor(devpor),
30115
	.combout(\Add0|adder|result_node|cs_buffer [7]),
30116
	.regout(),
30117
	.cout(\Add0|adder|result_node|cout [7]),
30118
	.cascout());
30119
// synopsys translate_off
30120
defparam \Add0|adder|result_node|cs_buffer[7]~I .cin_used = "true";
30121
defparam \Add0|adder|result_node|cs_buffer[7]~I .clock_enable_mode = "false";
30122
defparam \Add0|adder|result_node|cs_buffer[7]~I .lut_mask = "3cc0";
30123
defparam \Add0|adder|result_node|cs_buffer[7]~I .operation_mode = "arithmetic";
30124
defparam \Add0|adder|result_node|cs_buffer[7]~I .output_mode = "comb_only";
30125
defparam \Add0|adder|result_node|cs_buffer[7]~I .packed_mode = "false";
30126
// synopsys translate_on
30127
 
30128
// atom is at LC8_H7
30129
flex10ke_lcell \hcount[7]~I (
30130
// Equation(s):
30131
// hcount[7] = DFFEA(\Add0|adder|result_node|cs_buffer [7] & (!hcount[2] # !\Equal0~52 ), GLOBAL(\fclk~dataout ), , , cend, , )
30132
 
30133
	.dataa(cend),
30134
	.datab(\Equal0~52 ),
30135
	.datac(hcount[2]),
30136
	.datad(\Add0|adder|result_node|cs_buffer [7]),
30137
	.aclr(gnd),
30138
	.aload(gnd),
30139
	.clk(clk),
30140
	.cin(gnd),
30141
	.cascin(vcc),
30142
	.devclrn(devclrn),
30143
	.devpor(devpor),
30144
	.combout(),
30145
	.regout(hcount[7]),
30146
	.cout(),
30147
	.cascout());
30148
// synopsys translate_off
30149
defparam \hcount[7]~I .clock_enable_mode = "true";
30150
defparam \hcount[7]~I .lut_mask = "3f00";
30151
defparam \hcount[7]~I .operation_mode = "normal";
30152
defparam \hcount[7]~I .output_mode = "reg_only";
30153
defparam \hcount[7]~I .packed_mode = "false";
30154
// synopsys translate_on
30155
 
30156
// atom is at LC5_H18
30157
flex10ke_lcell \Add0|adder|unreg_res_node[8]~I (
30158
// Equation(s):
30159
// \Add0|adder|unreg_res_node [8] = \Add0|adder|result_node|cout [7] $ hcount[8]
30160
 
30161
	.dataa(vcc),
30162
	.datab(vcc),
30163
	.datac(vcc),
30164
	.datad(hcount[8]),
30165
	.aclr(gnd),
30166
	.aload(gnd),
30167
	.clk(gnd),
30168
	.cin(\Add0|adder|result_node|cout [7]),
30169
	.cascin(vcc),
30170
	.devclrn(devclrn),
30171
	.devpor(devpor),
30172
	.combout(\Add0|adder|unreg_res_node [8]),
30173
	.regout(),
30174
	.cout(),
30175
	.cascout());
30176
// synopsys translate_off
30177
defparam \Add0|adder|unreg_res_node[8]~I .cin_used = "true";
30178
defparam \Add0|adder|unreg_res_node[8]~I .clock_enable_mode = "false";
30179
defparam \Add0|adder|unreg_res_node[8]~I .lut_mask = "0ff0";
30180
defparam \Add0|adder|unreg_res_node[8]~I .operation_mode = "normal";
30181
defparam \Add0|adder|unreg_res_node[8]~I .output_mode = "comb_only";
30182
defparam \Add0|adder|unreg_res_node[8]~I .packed_mode = "false";
30183
// synopsys translate_on
30184
 
30185
// atom is at LC6_H7
30186
flex10ke_lcell \hcount[8]~I (
30187
// Equation(s):
30188
// hcount[8] = DFFEA(\Add0|adder|unreg_res_node [8] & (!hcount[2] # !\Equal0~52 ), GLOBAL(\fclk~dataout ), , , cend, , )
30189
 
30190
	.dataa(cend),
30191
	.datab(\Equal0~52 ),
30192
	.datac(hcount[2]),
30193
	.datad(\Add0|adder|unreg_res_node [8]),
30194
	.aclr(gnd),
30195
	.aload(gnd),
30196
	.clk(clk),
30197
	.cin(gnd),
30198
	.cascin(vcc),
30199
	.devclrn(devclrn),
30200
	.devpor(devpor),
30201
	.combout(),
30202
	.regout(hcount[8]),
30203
	.cout(),
30204
	.cascout());
30205
// synopsys translate_off
30206
defparam \hcount[8]~I .clock_enable_mode = "true";
30207
defparam \hcount[8]~I .lut_mask = "3f00";
30208
defparam \hcount[8]~I .operation_mode = "normal";
30209
defparam \hcount[8]~I .output_mode = "reg_only";
30210
defparam \hcount[8]~I .packed_mode = "false";
30211
// synopsys translate_on
30212
 
30213
// atom is at LC5_H9
30214
flex10ke_lcell \Equal1~46_I (
30215
// Equation(s):
30216
// \Equal1~46  = !hcount[0] & !hcount[5] & !hcount[4] & !hcount[6]
30217
 
30218
	.dataa(hcount[0]),
30219
	.datab(hcount[5]),
30220
	.datac(hcount[4]),
30221
	.datad(hcount[6]),
30222
	.aclr(gnd),
30223
	.aload(gnd),
30224
	.clk(gnd),
30225
	.cin(gnd),
30226
	.cascin(vcc),
30227
	.devclrn(devclrn),
30228
	.devpor(devpor),
30229
	.combout(\Equal1~46 ),
30230
	.regout(),
30231
	.cout(),
30232
	.cascout());
30233
// synopsys translate_off
30234
defparam \Equal1~46_I .clock_enable_mode = "false";
30235
defparam \Equal1~46_I .lut_mask = "0001";
30236
defparam \Equal1~46_I .operation_mode = "normal";
30237
defparam \Equal1~46_I .output_mode = "comb_only";
30238
defparam \Equal1~46_I .packed_mode = "false";
30239
// synopsys translate_on
30240
 
30241
// atom is at LC1_H9
30242
flex10ke_lcell \Equal1~47_I (
30243
// Equation(s):
30244
// \Equal1~47  = !hcount[1] & \Equal1~46 
30245
 
30246
	.dataa(vcc),
30247
	.datab(vcc),
30248
	.datac(hcount[1]),
30249
	.datad(\Equal1~46 ),
30250
	.aclr(gnd),
30251
	.aload(gnd),
30252
	.clk(gnd),
30253
	.cin(gnd),
30254
	.cascin(vcc),
30255
	.devclrn(devclrn),
30256
	.devpor(devpor),
30257
	.combout(\Equal1~47 ),
30258
	.regout(),
30259
	.cout(),
30260
	.cascout());
30261
// synopsys translate_off
30262
defparam \Equal1~47_I .clock_enable_mode = "false";
30263
defparam \Equal1~47_I .lut_mask = "0f00";
30264
defparam \Equal1~47_I .operation_mode = "normal";
30265
defparam \Equal1~47_I .output_mode = "comb_only";
30266
defparam \Equal1~47_I .packed_mode = "false";
30267
// synopsys translate_on
30268
 
30269
// atom is at LC1_H16
30270
flex10ke_lcell \hpix~66_I (
30271
// Equation(s):
30272
// \hpix~66  = hcount[2] & hcount[3] & hcount[7] & \Equal1~47 
30273
 
30274
	.dataa(hcount[2]),
30275
	.datab(hcount[3]),
30276
	.datac(hcount[7]),
30277
	.datad(\Equal1~47 ),
30278
	.aclr(gnd),
30279
	.aload(gnd),
30280
	.clk(gnd),
30281
	.cin(gnd),
30282
	.cascin(vcc),
30283
	.devclrn(devclrn),
30284
	.devpor(devpor),
30285
	.combout(\hpix~66 ),
30286
	.regout(),
30287
	.cout(),
30288
	.cascout());
30289
// synopsys translate_off
30290
defparam \hpix~66_I .clock_enable_mode = "false";
30291
defparam \hpix~66_I .lut_mask = "8000";
30292
defparam \hpix~66_I .operation_mode = "normal";
30293
defparam \hpix~66_I .output_mode = "comb_only";
30294
defparam \hpix~66_I .packed_mode = "false";
30295
// synopsys translate_on
30296
 
30297
// atom is at LC6_H9
30298
flex10ke_lcell \Equal2~60_I (
30299
// Equation(s):
30300
// \Equal2~60  = !hcount[0] & !hcount[5] & !hcount[1] & hcount[6]
30301
 
30302
	.dataa(hcount[0]),
30303
	.datab(hcount[5]),
30304
	.datac(hcount[1]),
30305
	.datad(hcount[6]),
30306
	.aclr(gnd),
30307
	.aload(gnd),
30308
	.clk(gnd),
30309
	.cin(gnd),
30310
	.cascin(vcc),
30311
	.devclrn(devclrn),
30312
	.devpor(devpor),
30313
	.combout(\Equal2~60 ),
30314
	.regout(),
30315
	.cout(),
30316
	.cascout());
30317
// synopsys translate_off
30318
defparam \Equal2~60_I .clock_enable_mode = "false";
30319
defparam \Equal2~60_I .lut_mask = "0100";
30320
defparam \Equal2~60_I .operation_mode = "normal";
30321
defparam \Equal2~60_I .output_mode = "comb_only";
30322
defparam \Equal2~60_I .packed_mode = "false";
30323
// synopsys translate_on
30324
 
30325
// atom is at LC2_H7
30326
flex10ke_lcell \Equal1~48_I (
30327
// Equation(s):
30328
// \Equal1~48  = !hcount[2] & !hcount[7] & !hcount[8]
30329
 
30330
	.dataa(vcc),
30331
	.datab(hcount[2]),
30332
	.datac(hcount[7]),
30333
	.datad(hcount[8]),
30334
	.aclr(gnd),
30335
	.aload(gnd),
30336
	.clk(gnd),
30337
	.cin(gnd),
30338
	.cascin(vcc),
30339
	.devclrn(devclrn),
30340
	.devpor(devpor),
30341
	.combout(\Equal1~48 ),
30342
	.regout(),
30343
	.cout(),
30344
	.cascout());
30345
// synopsys translate_off
30346
defparam \Equal1~48_I .clock_enable_mode = "false";
30347
defparam \Equal1~48_I .lut_mask = "0003";
30348
defparam \Equal1~48_I .operation_mode = "normal";
30349
defparam \Equal1~48_I .output_mode = "comb_only";
30350
defparam \Equal1~48_I .packed_mode = "false";
30351
// synopsys translate_on
30352
 
30353
// atom is at LC2_H9
30354
flex10ke_lcell \Equal2~61_I (
30355
// Equation(s):
30356
// \Equal2~61  = \Equal2~60  & hcount[4] & \Equal1~48  & hcount[3]
30357
 
30358
	.dataa(\Equal2~60 ),
30359
	.datab(hcount[4]),
30360
	.datac(\Equal1~48 ),
30361
	.datad(hcount[3]),
30362
	.aclr(gnd),
30363
	.aload(gnd),
30364
	.clk(gnd),
30365
	.cin(gnd),
30366
	.cascin(vcc),
30367
	.devclrn(devclrn),
30368
	.devpor(devpor),
30369
	.combout(\Equal2~61 ),
30370
	.regout(),
30371
	.cout(),
30372
	.cascout());
30373
// synopsys translate_off
30374
defparam \Equal2~61_I .clock_enable_mode = "false";
30375
defparam \Equal2~61_I .lut_mask = "8000";
30376
defparam \Equal2~61_I .operation_mode = "normal";
30377
defparam \Equal2~61_I .output_mode = "comb_only";
30378
defparam \Equal2~61_I .packed_mode = "false";
30379
// synopsys translate_on
30380
 
30381
// atom is at LC8_H9
30382
flex10ke_lcell \Equal1~49_I (
30383
// Equation(s):
30384
// \Equal1~49  = !hcount[1] & !hcount[3] & \Equal1~46  & \Equal1~48 
30385
 
30386
	.dataa(hcount[1]),
30387
	.datab(hcount[3]),
30388
	.datac(\Equal1~46 ),
30389
	.datad(\Equal1~48 ),
30390
	.aclr(gnd),
30391
	.aload(gnd),
30392
	.clk(gnd),
30393
	.cin(gnd),
30394
	.cascin(vcc),
30395
	.devclrn(devclrn),
30396
	.devpor(devpor),
30397
	.combout(\Equal1~49 ),
30398
	.regout(),
30399
	.cout(),
30400
	.cascout());
30401
// synopsys translate_off
30402
defparam \Equal1~49_I .clock_enable_mode = "false";
30403
defparam \Equal1~49_I .lut_mask = "1000";
30404
defparam \Equal1~49_I .operation_mode = "normal";
30405
defparam \Equal1~49_I .output_mode = "comb_only";
30406
defparam \Equal1~49_I .packed_mode = "false";
30407
// synopsys translate_on
30408
 
30409
// atom is at LC3_H7
30410
flex10ke_lcell \Equal4~23_I (
30411
// Equation(s):
30412
// \Equal4~23  = !hcount[4] & \Equal1~48  & \Equal0~51 
30413
 
30414
	.dataa(vcc),
30415
	.datab(hcount[4]),
30416
	.datac(\Equal1~48 ),
30417
	.datad(\Equal0~51 ),
30418
	.aclr(gnd),
30419
	.aload(gnd),
30420
	.clk(gnd),
30421
	.cin(gnd),
30422
	.cascin(vcc),
30423
	.devclrn(devclrn),
30424
	.devpor(devpor),
30425
	.combout(\Equal4~23 ),
30426
	.regout(),
30427
	.cout(),
30428
	.cascout());
30429
// synopsys translate_off
30430
defparam \Equal4~23_I .clock_enable_mode = "false";
30431
defparam \Equal4~23_I .lut_mask = "3000";
30432
defparam \Equal4~23_I .operation_mode = "normal";
30433
defparam \Equal4~23_I .output_mode = "comb_only";
30434
defparam \Equal4~23_I .packed_mode = "false";
30435
// synopsys translate_on
30436
 
30437
// atom is at LC3_H9
30438
flex10ke_lcell \Equal3~46_I (
30439
// Equation(s):
30440
// \Equal3~46  = hcount[3] & hcount[1] & \Equal1~48  & \Equal1~46 
30441
 
30442
	.dataa(hcount[3]),
30443
	.datab(hcount[1]),
30444
	.datac(\Equal1~48 ),
30445
	.datad(\Equal1~46 ),
30446
	.aclr(gnd),
30447
	.aload(gnd),
30448
	.clk(gnd),
30449
	.cin(gnd),
30450
	.cascin(vcc),
30451
	.devclrn(devclrn),
30452
	.devpor(devpor),
30453
	.combout(\Equal3~46 ),
30454
	.regout(),
30455
	.cout(),
30456
	.cascout());
30457
// synopsys translate_off
30458
defparam \Equal3~46_I .clock_enable_mode = "false";
30459
defparam \Equal3~46_I .lut_mask = "8000";
30460
defparam \Equal3~46_I .operation_mode = "normal";
30461
defparam \Equal3~46_I .output_mode = "comb_only";
30462
defparam \Equal3~46_I .packed_mode = "false";
30463
// synopsys translate_on
30464
 
30465
// atom is at LC6_H13
30466
flex10ke_lcell \line_start~189_I (
30467
// Equation(s):
30468
// \line_start~189  = \Equal2~61  # !pre_cend
30469
 
30470
	.dataa(vcc),
30471
	.datab(vcc),
30472
	.datac(pre_cend),
30473
	.datad(\Equal2~61 ),
30474
	.aclr(gnd),
30475
	.aload(gnd),
30476
	.clk(gnd),
30477
	.cin(gnd),
30478
	.cascin(vcc),
30479
	.devclrn(devclrn),
30480
	.devpor(devpor),
30481
	.combout(\line_start~189 ),
30482
	.regout(),
30483
	.cout(),
30484
	.cascout());
30485
// synopsys translate_off
30486
defparam \line_start~189_I .clock_enable_mode = "false";
30487
defparam \line_start~189_I .lut_mask = "ff0f";
30488
defparam \line_start~189_I .operation_mode = "normal";
30489
defparam \line_start~189_I .output_mode = "comb_only";
30490
defparam \line_start~189_I .packed_mode = "false";
30491
// synopsys translate_on
30492
 
30493
endmodule
30494
 
30495
module syncv (
30496
	vpix,
30497
	vblank,
30498
	vsync,
30499
	int_start,
30500
	line_start,
30501
	hsync_start,
30502
	hint_start,
30503
	clk,
30504
	int_start1,
30505
	devpor,
30506
	devclrn,
30507
	devoe);
30508
output 	vpix;
30509
output 	vblank;
30510
output 	vsync;
30511
output 	int_start;
30512
input 	line_start;
30513
input 	hsync_start;
30514
input 	hint_start;
30515
input 	clk;
30516
output 	int_start1;
30517
input 	devpor;
30518
input 	devclrn;
30519
input 	devoe;
30520
 
30521
wire gnd = 1'b0;
30522
wire vcc = 1'b1;
30523
 
30524
wire \vsync~84 ;
30525
wire \Equal0~36 ;
30526
wire \always2~73 ;
30527
wire \always2~74 ;
30528
wire \Equal5~48 ;
30529
wire \Equal5~49 ;
30530
wire \vpix~76 ;
30531
wire \Equal1~38 ;
30532
wire \vblank~40 ;
30533
wire \always2~75 ;
30534
wire \vsync~91 ;
30535
wire \vsync~87 ;
30536
wire [8:0] vcount;
30537
wire [8:0] \Add0|adder|result_node|cout ;
30538
wire [8:0] \Add0|adder|result_node|cs_buffer ;
30539
wire [8:0] \Add0|adder|unreg_res_node ;
30540
 
30541
 
30542
// atom is at LC1_B36
30543
flex10ke_lcell \vpix~I (
30544
// Equation(s):
30545
// vpix = DFFEA(\vpix~76 , GLOBAL(\fclk~dataout ), , , hsync_start, , )
30546
 
30547
	.dataa(hsync_start),
30548
	.datab(vcc),
30549
	.datac(vcc),
30550
	.datad(\vpix~76 ),
30551
	.aclr(gnd),
30552
	.aload(gnd),
30553
	.clk(clk),
30554
	.cin(gnd),
30555
	.cascin(vcc),
30556
	.devclrn(devclrn),
30557
	.devpor(devpor),
30558
	.combout(),
30559
	.regout(vpix),
30560
	.cout(),
30561
	.cascout());
30562
// synopsys translate_off
30563
defparam \vpix~I .clock_enable_mode = "true";
30564
defparam \vpix~I .lut_mask = "ff00";
30565
defparam \vpix~I .operation_mode = "normal";
30566
defparam \vpix~I .output_mode = "reg_only";
30567
defparam \vpix~I .packed_mode = "false";
30568
// synopsys translate_on
30569
 
30570
// atom is at LC8_B34
30571
flex10ke_lcell \vblank~I (
30572
// Equation(s):
30573
// vblank = DFFEA(\vblank~40 , GLOBAL(\fclk~dataout ), , , hsync_start, , )
30574
 
30575
	.dataa(hsync_start),
30576
	.datab(vcc),
30577
	.datac(vcc),
30578
	.datad(\vblank~40 ),
30579
	.aclr(gnd),
30580
	.aload(gnd),
30581
	.clk(clk),
30582
	.cin(gnd),
30583
	.cascin(vcc),
30584
	.devclrn(devclrn),
30585
	.devpor(devpor),
30586
	.combout(),
30587
	.regout(vblank),
30588
	.cout(),
30589
	.cascout());
30590
// synopsys translate_off
30591
defparam \vblank~I .clock_enable_mode = "true";
30592
defparam \vblank~I .lut_mask = "ff00";
30593
defparam \vblank~I .operation_mode = "normal";
30594
defparam \vblank~I .output_mode = "reg_only";
30595
defparam \vblank~I .packed_mode = "false";
30596
// synopsys translate_on
30597
 
30598
// atom is at LC6_B34
30599
flex10ke_lcell \vsync~I (
30600
// Equation(s):
30601
// vsync = DFFEA(!\vsync~87 , GLOBAL(\fclk~dataout ), , , , , )
30602
 
30603
	.dataa(vcc),
30604
	.datab(vcc),
30605
	.datac(vcc),
30606
	.datad(\vsync~87 ),
30607
	.aclr(gnd),
30608
	.aload(gnd),
30609
	.clk(clk),
30610
	.cin(gnd),
30611
	.cascin(vcc),
30612
	.devclrn(devclrn),
30613
	.devpor(devpor),
30614
	.combout(),
30615
	.regout(vsync),
30616
	.cout(),
30617
	.cascout());
30618
// synopsys translate_off
30619
defparam \vsync~I .clock_enable_mode = "false";
30620
defparam \vsync~I .lut_mask = "00ff";
30621
defparam \vsync~I .operation_mode = "normal";
30622
defparam \vsync~I .output_mode = "reg_only";
30623
defparam \vsync~I .packed_mode = "false";
30624
// synopsys translate_on
30625
 
30626
// atom is at LC4_B34
30627
flex10ke_lcell \int_start~I (
30628
// Equation(s):
30629
// int_start = DFFEA(!vcount[3] & !vcount[5] & hint_start & \Equal1~38 , GLOBAL(\fclk~dataout ), , , , , )
30630
 
30631
	.dataa(vcount[3]),
30632
	.datab(vcount[5]),
30633
	.datac(hint_start),
30634
	.datad(\Equal1~38 ),
30635
	.aclr(gnd),
30636
	.aload(gnd),
30637
	.clk(clk),
30638
	.cin(gnd),
30639
	.cascin(vcc),
30640
	.devclrn(devclrn),
30641
	.devpor(devpor),
30642
	.combout(),
30643
	.regout(int_start),
30644
	.cout(),
30645
	.cascout());
30646
// synopsys translate_off
30647
defparam \int_start~I .clock_enable_mode = "false";
30648
defparam \int_start~I .lut_mask = "1000";
30649
defparam \int_start~I .operation_mode = "normal";
30650
defparam \int_start~I .output_mode = "reg_only";
30651
defparam \int_start~I .packed_mode = "false";
30652
// synopsys translate_on
30653
 
30654
// atom is at LC4_I4
30655
flex10ke_lcell \int_start~_wirecell_I (
30656
// Equation(s):
30657
// int_start1 = !int_start
30658
 
30659
	.dataa(vcc),
30660
	.datab(vcc),
30661
	.datac(vcc),
30662
	.datad(int_start),
30663
	.aclr(gnd),
30664
	.aload(gnd),
30665
	.clk(gnd),
30666
	.cin(gnd),
30667
	.cascin(vcc),
30668
	.devclrn(devclrn),
30669
	.devpor(devpor),
30670
	.combout(int_start1),
30671
	.regout(),
30672
	.cout(),
30673
	.cascout());
30674
// synopsys translate_off
30675
defparam \int_start~_wirecell_I .clock_enable_mode = "false";
30676
defparam \int_start~_wirecell_I .lut_mask = "00ff";
30677
defparam \int_start~_wirecell_I .operation_mode = "normal";
30678
defparam \int_start~_wirecell_I .output_mode = "comb_only";
30679
defparam \int_start~_wirecell_I .packed_mode = "false";
30680
// synopsys translate_on
30681
 
30682
// atom is at LC5_B28
30683
flex10ke_lcell \vcount[0]~I (
30684
// Equation(s):
30685
// vcount[0] = DFFEA(!vcount[0], GLOBAL(\fclk~dataout ), , , hsync_start, , )
30686
// \Add0|adder|result_node|cout [0] = CARRY(vcount[0])
30687
 
30688
	.dataa(hsync_start),
30689
	.datab(vcount[0]),
30690
	.datac(vcc),
30691
	.datad(vcc),
30692
	.aclr(gnd),
30693
	.aload(gnd),
30694
	.clk(clk),
30695
	.cin(gnd),
30696
	.cascin(vcc),
30697
	.devclrn(devclrn),
30698
	.devpor(devpor),
30699
	.combout(),
30700
	.regout(vcount[0]),
30701
	.cout(\Add0|adder|result_node|cout [0]),
30702
	.cascout());
30703
// synopsys translate_off
30704
defparam \vcount[0]~I .clock_enable_mode = "true";
30705
defparam \vcount[0]~I .lut_mask = "33cc";
30706
defparam \vcount[0]~I .operation_mode = "arithmetic";
30707
defparam \vcount[0]~I .output_mode = "reg_only";
30708
defparam \vcount[0]~I .packed_mode = "false";
30709
// synopsys translate_on
30710
 
30711
// atom is at LC6_B28
30712
flex10ke_lcell \Add0|adder|result_node|cs_buffer[1]~I (
30713
// Equation(s):
30714
// \Add0|adder|result_node|cs_buffer [1] = vcount[1] $ \Add0|adder|result_node|cout [0]
30715
// \Add0|adder|result_node|cout [1] = CARRY(vcount[1] & \Add0|adder|result_node|cout [0])
30716
 
30717
	.dataa(vcc),
30718
	.datab(vcount[1]),
30719
	.datac(vcc),
30720
	.datad(vcc),
30721
	.aclr(gnd),
30722
	.aload(gnd),
30723
	.clk(gnd),
30724
	.cin(\Add0|adder|result_node|cout [0]),
30725
	.cascin(vcc),
30726
	.devclrn(devclrn),
30727
	.devpor(devpor),
30728
	.combout(\Add0|adder|result_node|cs_buffer [1]),
30729
	.regout(),
30730
	.cout(\Add0|adder|result_node|cout [1]),
30731
	.cascout());
30732
// synopsys translate_off
30733
defparam \Add0|adder|result_node|cs_buffer[1]~I .cin_used = "true";
30734
defparam \Add0|adder|result_node|cs_buffer[1]~I .clock_enable_mode = "false";
30735
defparam \Add0|adder|result_node|cs_buffer[1]~I .lut_mask = "3cc0";
30736
defparam \Add0|adder|result_node|cs_buffer[1]~I .operation_mode = "arithmetic";
30737
defparam \Add0|adder|result_node|cs_buffer[1]~I .output_mode = "comb_only";
30738
defparam \Add0|adder|result_node|cs_buffer[1]~I .packed_mode = "false";
30739
// synopsys translate_on
30740
 
30741
// atom is at LC1_B28
30742
flex10ke_lcell \vcount[1]~I (
30743
// Equation(s):
30744
// vcount[1] = DFFEA(\Add0|adder|result_node|cs_buffer [1], GLOBAL(\fclk~dataout ), , , hsync_start, , )
30745
 
30746
	.dataa(hsync_start),
30747
	.datab(vcc),
30748
	.datac(vcc),
30749
	.datad(\Add0|adder|result_node|cs_buffer [1]),
30750
	.aclr(gnd),
30751
	.aload(gnd),
30752
	.clk(clk),
30753
	.cin(gnd),
30754
	.cascin(vcc),
30755
	.devclrn(devclrn),
30756
	.devpor(devpor),
30757
	.combout(),
30758
	.regout(vcount[1]),
30759
	.cout(),
30760
	.cascout());
30761
// synopsys translate_off
30762
defparam \vcount[1]~I .clock_enable_mode = "true";
30763
defparam \vcount[1]~I .lut_mask = "ff00";
30764
defparam \vcount[1]~I .operation_mode = "normal";
30765
defparam \vcount[1]~I .output_mode = "reg_only";
30766
defparam \vcount[1]~I .packed_mode = "false";
30767
// synopsys translate_on
30768
 
30769
// atom is at LC7_B28
30770
flex10ke_lcell \Add0|adder|result_node|cs_buffer[2]~I (
30771
// Equation(s):
30772
// \Add0|adder|result_node|cs_buffer [2] = vcount[2] $ \Add0|adder|result_node|cout [1]
30773
// \Add0|adder|result_node|cout [2] = CARRY(vcount[2] & \Add0|adder|result_node|cout [1])
30774
 
30775
	.dataa(vcc),
30776
	.datab(vcount[2]),
30777
	.datac(vcc),
30778
	.datad(vcc),
30779
	.aclr(gnd),
30780
	.aload(gnd),
30781
	.clk(gnd),
30782
	.cin(\Add0|adder|result_node|cout [1]),
30783
	.cascin(vcc),
30784
	.devclrn(devclrn),
30785
	.devpor(devpor),
30786
	.combout(\Add0|adder|result_node|cs_buffer [2]),
30787
	.regout(),
30788
	.cout(\Add0|adder|result_node|cout [2]),
30789
	.cascout());
30790
// synopsys translate_off
30791
defparam \Add0|adder|result_node|cs_buffer[2]~I .cin_used = "true";
30792
defparam \Add0|adder|result_node|cs_buffer[2]~I .clock_enable_mode = "false";
30793
defparam \Add0|adder|result_node|cs_buffer[2]~I .lut_mask = "3cc0";
30794
defparam \Add0|adder|result_node|cs_buffer[2]~I .operation_mode = "arithmetic";
30795
defparam \Add0|adder|result_node|cs_buffer[2]~I .output_mode = "comb_only";
30796
defparam \Add0|adder|result_node|cs_buffer[2]~I .packed_mode = "false";
30797
// synopsys translate_on
30798
 
30799
// atom is at LC3_B28
30800
flex10ke_lcell \vcount[2]~I (
30801
// Equation(s):
30802
// vcount[2] = DFFEA(\Add0|adder|result_node|cs_buffer [2], GLOBAL(\fclk~dataout ), , , hsync_start, , )
30803
 
30804
	.dataa(hsync_start),
30805
	.datab(vcc),
30806
	.datac(vcc),
30807
	.datad(\Add0|adder|result_node|cs_buffer [2]),
30808
	.aclr(gnd),
30809
	.aload(gnd),
30810
	.clk(clk),
30811
	.cin(gnd),
30812
	.cascin(vcc),
30813
	.devclrn(devclrn),
30814
	.devpor(devpor),
30815
	.combout(),
30816
	.regout(vcount[2]),
30817
	.cout(),
30818
	.cascout());
30819
// synopsys translate_off
30820
defparam \vcount[2]~I .clock_enable_mode = "true";
30821
defparam \vcount[2]~I .lut_mask = "ff00";
30822
defparam \vcount[2]~I .operation_mode = "normal";
30823
defparam \vcount[2]~I .output_mode = "reg_only";
30824
defparam \vcount[2]~I .packed_mode = "false";
30825
// synopsys translate_on
30826
 
30827
// atom is at LC8_B28
30828
flex10ke_lcell \Add0|adder|result_node|cs_buffer[3]~I (
30829
// Equation(s):
30830
// \Add0|adder|result_node|cs_buffer [3] = vcount[3] $ \Add0|adder|result_node|cout [2]
30831
// \Add0|adder|result_node|cout [3] = CARRY(vcount[3] & \Add0|adder|result_node|cout [2])
30832
 
30833
	.dataa(vcc),
30834
	.datab(vcount[3]),
30835
	.datac(vcc),
30836
	.datad(vcc),
30837
	.aclr(gnd),
30838
	.aload(gnd),
30839
	.clk(gnd),
30840
	.cin(\Add0|adder|result_node|cout [2]),
30841
	.cascin(vcc),
30842
	.devclrn(devclrn),
30843
	.devpor(devpor),
30844
	.combout(\Add0|adder|result_node|cs_buffer [3]),
30845
	.regout(),
30846
	.cout(\Add0|adder|result_node|cout [3]),
30847
	.cascout());
30848
// synopsys translate_off
30849
defparam \Add0|adder|result_node|cs_buffer[3]~I .cin_used = "true";
30850
defparam \Add0|adder|result_node|cs_buffer[3]~I .clock_enable_mode = "false";
30851
defparam \Add0|adder|result_node|cs_buffer[3]~I .lut_mask = "3cc0";
30852
defparam \Add0|adder|result_node|cs_buffer[3]~I .operation_mode = "arithmetic";
30853
defparam \Add0|adder|result_node|cs_buffer[3]~I .output_mode = "comb_only";
30854
defparam \Add0|adder|result_node|cs_buffer[3]~I .packed_mode = "false";
30855
// synopsys translate_on
30856
 
30857
// atom is at LC7_B34
30858
flex10ke_lcell \vcount[3]~I (
30859
// Equation(s):
30860
// vcount[3] = DFFEA(\Add0|adder|result_node|cs_buffer [3], GLOBAL(\fclk~dataout ), , , hsync_start, , )
30861
 
30862
	.dataa(hsync_start),
30863
	.datab(vcc),
30864
	.datac(vcc),
30865
	.datad(\Add0|adder|result_node|cs_buffer [3]),
30866
	.aclr(gnd),
30867
	.aload(gnd),
30868
	.clk(clk),
30869
	.cin(gnd),
30870
	.cascin(vcc),
30871
	.devclrn(devclrn),
30872
	.devpor(devpor),
30873
	.combout(),
30874
	.regout(vcount[3]),
30875
	.cout(),
30876
	.cascout());
30877
// synopsys translate_off
30878
defparam \vcount[3]~I .clock_enable_mode = "true";
30879
defparam \vcount[3]~I .lut_mask = "ff00";
30880
defparam \vcount[3]~I .operation_mode = "normal";
30881
defparam \vcount[3]~I .output_mode = "reg_only";
30882
defparam \vcount[3]~I .packed_mode = "false";
30883
// synopsys translate_on
30884
 
30885
// atom is at LC1_B30
30886
flex10ke_lcell \Add0|adder|result_node|cs_buffer[4]~I (
30887
// Equation(s):
30888
// \Add0|adder|result_node|cs_buffer [4] = vcount[4] $ \Add0|adder|result_node|cout [3]
30889
// \Add0|adder|result_node|cout [4] = CARRY(vcount[4] & \Add0|adder|result_node|cout [3])
30890
 
30891
	.dataa(vcc),
30892
	.datab(vcount[4]),
30893
	.datac(vcc),
30894
	.datad(vcc),
30895
	.aclr(gnd),
30896
	.aload(gnd),
30897
	.clk(gnd),
30898
	.cin(\Add0|adder|result_node|cout [3]),
30899
	.cascin(vcc),
30900
	.devclrn(devclrn),
30901
	.devpor(devpor),
30902
	.combout(\Add0|adder|result_node|cs_buffer [4]),
30903
	.regout(),
30904
	.cout(\Add0|adder|result_node|cout [4]),
30905
	.cascout());
30906
// synopsys translate_off
30907
defparam \Add0|adder|result_node|cs_buffer[4]~I .cin_used = "true";
30908
defparam \Add0|adder|result_node|cs_buffer[4]~I .clock_enable_mode = "false";
30909
defparam \Add0|adder|result_node|cs_buffer[4]~I .lut_mask = "3cc0";
30910
defparam \Add0|adder|result_node|cs_buffer[4]~I .operation_mode = "arithmetic";
30911
defparam \Add0|adder|result_node|cs_buffer[4]~I .output_mode = "comb_only";
30912
defparam \Add0|adder|result_node|cs_buffer[4]~I .packed_mode = "false";
30913
// synopsys translate_on
30914
 
30915
// atom is at LC6_B30
30916
flex10ke_lcell \vcount[4]~I (
30917
// Equation(s):
30918
// vcount[4] = DFFEA(\Add0|adder|result_node|cs_buffer [4], GLOBAL(\fclk~dataout ), , , hsync_start, , )
30919
 
30920
	.dataa(hsync_start),
30921
	.datab(vcc),
30922
	.datac(vcc),
30923
	.datad(\Add0|adder|result_node|cs_buffer [4]),
30924
	.aclr(gnd),
30925
	.aload(gnd),
30926
	.clk(clk),
30927
	.cin(gnd),
30928
	.cascin(vcc),
30929
	.devclrn(devclrn),
30930
	.devpor(devpor),
30931
	.combout(),
30932
	.regout(vcount[4]),
30933
	.cout(),
30934
	.cascout());
30935
// synopsys translate_off
30936
defparam \vcount[4]~I .clock_enable_mode = "true";
30937
defparam \vcount[4]~I .lut_mask = "ff00";
30938
defparam \vcount[4]~I .operation_mode = "normal";
30939
defparam \vcount[4]~I .output_mode = "reg_only";
30940
defparam \vcount[4]~I .packed_mode = "false";
30941
// synopsys translate_on
30942
 
30943
// atom is at LC8_B36
30944
flex10ke_lcell \Equal0~36_I (
30945
// Equation(s):
30946
// \Equal0~36  = vcount[5] & vcount[2] & vcount[8] & vcount[4]
30947
 
30948
	.dataa(vcount[5]),
30949
	.datab(vcount[2]),
30950
	.datac(vcount[8]),
30951
	.datad(vcount[4]),
30952
	.aclr(gnd),
30953
	.aload(gnd),
30954
	.clk(gnd),
30955
	.cin(gnd),
30956
	.cascin(vcc),
30957
	.devclrn(devclrn),
30958
	.devpor(devpor),
30959
	.combout(\Equal0~36 ),
30960
	.regout(),
30961
	.cout(),
30962
	.cascout());
30963
// synopsys translate_off
30964
defparam \Equal0~36_I .clock_enable_mode = "false";
30965
defparam \Equal0~36_I .lut_mask = "8000";
30966
defparam \Equal0~36_I .operation_mode = "normal";
30967
defparam \Equal0~36_I .output_mode = "comb_only";
30968
defparam \Equal0~36_I .packed_mode = "false";
30969
// synopsys translate_on
30970
 
30971
// atom is at LC2_B30
30972
flex10ke_lcell \Add0|adder|result_node|cs_buffer[5]~I (
30973
// Equation(s):
30974
// \Add0|adder|result_node|cs_buffer [5] = vcount[5] $ \Add0|adder|result_node|cout [4]
30975
// \Add0|adder|result_node|cout [5] = CARRY(vcount[5] & \Add0|adder|result_node|cout [4])
30976
 
30977
	.dataa(vcc),
30978
	.datab(vcount[5]),
30979
	.datac(vcc),
30980
	.datad(vcc),
30981
	.aclr(gnd),
30982
	.aload(gnd),
30983
	.clk(gnd),
30984
	.cin(\Add0|adder|result_node|cout [4]),
30985
	.cascin(vcc),
30986
	.devclrn(devclrn),
30987
	.devpor(devpor),
30988
	.combout(\Add0|adder|result_node|cs_buffer [5]),
30989
	.regout(),
30990
	.cout(\Add0|adder|result_node|cout [5]),
30991
	.cascout());
30992
// synopsys translate_off
30993
defparam \Add0|adder|result_node|cs_buffer[5]~I .cin_used = "true";
30994
defparam \Add0|adder|result_node|cs_buffer[5]~I .clock_enable_mode = "false";
30995
defparam \Add0|adder|result_node|cs_buffer[5]~I .lut_mask = "3cc0";
30996
defparam \Add0|adder|result_node|cs_buffer[5]~I .operation_mode = "arithmetic";
30997
defparam \Add0|adder|result_node|cs_buffer[5]~I .output_mode = "comb_only";
30998
defparam \Add0|adder|result_node|cs_buffer[5]~I .packed_mode = "false";
30999
// synopsys translate_on
31000
 
31001
// atom is at LC7_B30
31002
flex10ke_lcell \vcount[5]~I (
31003
// Equation(s):
31004
// vcount[5] = DFFEA(\Add0|adder|result_node|cs_buffer [5], GLOBAL(\fclk~dataout ), , , hsync_start, , )
31005
 
31006
	.dataa(hsync_start),
31007
	.datab(vcc),
31008
	.datac(vcc),
31009
	.datad(\Add0|adder|result_node|cs_buffer [5]),
31010
	.aclr(gnd),
31011
	.aload(gnd),
31012
	.clk(clk),
31013
	.cin(gnd),
31014
	.cascin(vcc),
31015
	.devclrn(devclrn),
31016
	.devpor(devpor),
31017
	.combout(),
31018
	.regout(vcount[5]),
31019
	.cout(),
31020
	.cascout());
31021
// synopsys translate_off
31022
defparam \vcount[5]~I .clock_enable_mode = "true";
31023
defparam \vcount[5]~I .lut_mask = "ff00";
31024
defparam \vcount[5]~I .operation_mode = "normal";
31025
defparam \vcount[5]~I .output_mode = "reg_only";
31026
defparam \vcount[5]~I .packed_mode = "false";
31027
// synopsys translate_on
31028
 
31029
// atom is at LC3_B30
31030
flex10ke_lcell \Add0|adder|result_node|cs_buffer[6]~I (
31031
// Equation(s):
31032
// \Add0|adder|result_node|cs_buffer [6] = vcount[6] $ \Add0|adder|result_node|cout [5]
31033
// \Add0|adder|result_node|cout [6] = CARRY(vcount[6] & \Add0|adder|result_node|cout [5])
31034
 
31035
	.dataa(vcc),
31036
	.datab(vcount[6]),
31037
	.datac(vcc),
31038
	.datad(vcc),
31039
	.aclr(gnd),
31040
	.aload(gnd),
31041
	.clk(gnd),
31042
	.cin(\Add0|adder|result_node|cout [5]),
31043
	.cascin(vcc),
31044
	.devclrn(devclrn),
31045
	.devpor(devpor),
31046
	.combout(\Add0|adder|result_node|cs_buffer [6]),
31047
	.regout(),
31048
	.cout(\Add0|adder|result_node|cout [6]),
31049
	.cascout());
31050
// synopsys translate_off
31051
defparam \Add0|adder|result_node|cs_buffer[6]~I .cin_used = "true";
31052
defparam \Add0|adder|result_node|cs_buffer[6]~I .clock_enable_mode = "false";
31053
defparam \Add0|adder|result_node|cs_buffer[6]~I .lut_mask = "3cc0";
31054
defparam \Add0|adder|result_node|cs_buffer[6]~I .operation_mode = "arithmetic";
31055
defparam \Add0|adder|result_node|cs_buffer[6]~I .output_mode = "comb_only";
31056
defparam \Add0|adder|result_node|cs_buffer[6]~I .packed_mode = "false";
31057
// synopsys translate_on
31058
 
31059
// atom is at LC6_B36
31060
flex10ke_lcell \vcount[6]~I (
31061
// Equation(s):
31062
// vcount[6] = DFFEA(\Add0|adder|result_node|cs_buffer [6] & (!\always2~74  # !\Equal0~36 ), GLOBAL(\fclk~dataout ), , , hsync_start, , )
31063
 
31064
	.dataa(hsync_start),
31065
	.datab(\Equal0~36 ),
31066
	.datac(\always2~74 ),
31067
	.datad(\Add0|adder|result_node|cs_buffer [6]),
31068
	.aclr(gnd),
31069
	.aload(gnd),
31070
	.clk(clk),
31071
	.cin(gnd),
31072
	.cascin(vcc),
31073
	.devclrn(devclrn),
31074
	.devpor(devpor),
31075
	.combout(),
31076
	.regout(vcount[6]),
31077
	.cout(),
31078
	.cascout());
31079
// synopsys translate_off
31080
defparam \vcount[6]~I .clock_enable_mode = "true";
31081
defparam \vcount[6]~I .lut_mask = "3f00";
31082
defparam \vcount[6]~I .operation_mode = "normal";
31083
defparam \vcount[6]~I .output_mode = "reg_only";
31084
defparam \vcount[6]~I .packed_mode = "false";
31085
// synopsys translate_on
31086
 
31087
// atom is at LC4_B30
31088
flex10ke_lcell \Add0|adder|result_node|cs_buffer[7]~I (
31089
// Equation(s):
31090
// \Add0|adder|result_node|cs_buffer [7] = vcount[7] $ \Add0|adder|result_node|cout [6]
31091
// \Add0|adder|result_node|cout [7] = CARRY(vcount[7] & \Add0|adder|result_node|cout [6])
31092
 
31093
	.dataa(vcc),
31094
	.datab(vcount[7]),
31095
	.datac(vcc),
31096
	.datad(vcc),
31097
	.aclr(gnd),
31098
	.aload(gnd),
31099
	.clk(gnd),
31100
	.cin(\Add0|adder|result_node|cout [6]),
31101
	.cascin(vcc),
31102
	.devclrn(devclrn),
31103
	.devpor(devpor),
31104
	.combout(\Add0|adder|result_node|cs_buffer [7]),
31105
	.regout(),
31106
	.cout(\Add0|adder|result_node|cout [7]),
31107
	.cascout());
31108
// synopsys translate_off
31109
defparam \Add0|adder|result_node|cs_buffer[7]~I .cin_used = "true";
31110
defparam \Add0|adder|result_node|cs_buffer[7]~I .clock_enable_mode = "false";
31111
defparam \Add0|adder|result_node|cs_buffer[7]~I .lut_mask = "3cc0";
31112
defparam \Add0|adder|result_node|cs_buffer[7]~I .operation_mode = "arithmetic";
31113
defparam \Add0|adder|result_node|cs_buffer[7]~I .output_mode = "comb_only";
31114
defparam \Add0|adder|result_node|cs_buffer[7]~I .packed_mode = "false";
31115
// synopsys translate_on
31116
 
31117
// atom is at LC8_B30
31118
flex10ke_lcell \vcount[7]~I (
31119
// Equation(s):
31120
// vcount[7] = DFFEA(\Add0|adder|result_node|cs_buffer [7], GLOBAL(\fclk~dataout ), , , hsync_start, , )
31121
 
31122
	.dataa(hsync_start),
31123
	.datab(vcc),
31124
	.datac(vcc),
31125
	.datad(\Add0|adder|result_node|cs_buffer [7]),
31126
	.aclr(gnd),
31127
	.aload(gnd),
31128
	.clk(clk),
31129
	.cin(gnd),
31130
	.cascin(vcc),
31131
	.devclrn(devclrn),
31132
	.devpor(devpor),
31133
	.combout(),
31134
	.regout(vcount[7]),
31135
	.cout(),
31136
	.cascout());
31137
// synopsys translate_off
31138
defparam \vcount[7]~I .clock_enable_mode = "true";
31139
defparam \vcount[7]~I .lut_mask = "ff00";
31140
defparam \vcount[7]~I .operation_mode = "normal";
31141
defparam \vcount[7]~I .output_mode = "reg_only";
31142
defparam \vcount[7]~I .packed_mode = "false";
31143
// synopsys translate_on
31144
 
31145
// atom is at LC4_B28
31146
flex10ke_lcell \always2~73_I (
31147
// Equation(s):
31148
// \always2~73  = vcount[0] & vcount[1]
31149
 
31150
	.dataa(vcc),
31151
	.datab(vcc),
31152
	.datac(vcount[0]),
31153
	.datad(vcount[1]),
31154
	.aclr(gnd),
31155
	.aload(gnd),
31156
	.clk(gnd),
31157
	.cin(gnd),
31158
	.cascin(vcc),
31159
	.devclrn(devclrn),
31160
	.devpor(devpor),
31161
	.combout(\always2~73 ),
31162
	.regout(),
31163
	.cout(),
31164
	.cascout());
31165
// synopsys translate_off
31166
defparam \always2~73_I .clock_enable_mode = "false";
31167
defparam \always2~73_I .lut_mask = "f000";
31168
defparam \always2~73_I .operation_mode = "normal";
31169
defparam \always2~73_I .output_mode = "comb_only";
31170
defparam \always2~73_I .packed_mode = "false";
31171
// synopsys translate_on
31172
 
31173
// atom is at LC5_B34
31174
flex10ke_lcell \always2~74_I (
31175
// Equation(s):
31176
// \always2~74  = !vcount[6] & !vcount[7] & \always2~73  & vcount[3]
31177
 
31178
	.dataa(vcount[6]),
31179
	.datab(vcount[7]),
31180
	.datac(\always2~73 ),
31181
	.datad(vcount[3]),
31182
	.aclr(gnd),
31183
	.aload(gnd),
31184
	.clk(gnd),
31185
	.cin(gnd),
31186
	.cascin(vcc),
31187
	.devclrn(devclrn),
31188
	.devpor(devpor),
31189
	.combout(\always2~74 ),
31190
	.regout(),
31191
	.cout(),
31192
	.cascout());
31193
// synopsys translate_off
31194
defparam \always2~74_I .clock_enable_mode = "false";
31195
defparam \always2~74_I .lut_mask = "1000";
31196
defparam \always2~74_I .operation_mode = "normal";
31197
defparam \always2~74_I .output_mode = "comb_only";
31198
defparam \always2~74_I .packed_mode = "false";
31199
// synopsys translate_on
31200
 
31201
// atom is at LC5_B30
31202
flex10ke_lcell \Add0|adder|unreg_res_node[8]~I (
31203
// Equation(s):
31204
// \Add0|adder|unreg_res_node [8] = \Add0|adder|result_node|cout [7] $ vcount[8]
31205
 
31206
	.dataa(vcc),
31207
	.datab(vcc),
31208
	.datac(vcc),
31209
	.datad(vcount[8]),
31210
	.aclr(gnd),
31211
	.aload(gnd),
31212
	.clk(gnd),
31213
	.cin(\Add0|adder|result_node|cout [7]),
31214
	.cascin(vcc),
31215
	.devclrn(devclrn),
31216
	.devpor(devpor),
31217
	.combout(\Add0|adder|unreg_res_node [8]),
31218
	.regout(),
31219
	.cout(),
31220
	.cascout());
31221
// synopsys translate_off
31222
defparam \Add0|adder|unreg_res_node[8]~I .cin_used = "true";
31223
defparam \Add0|adder|unreg_res_node[8]~I .clock_enable_mode = "false";
31224
defparam \Add0|adder|unreg_res_node[8]~I .lut_mask = "0ff0";
31225
defparam \Add0|adder|unreg_res_node[8]~I .operation_mode = "normal";
31226
defparam \Add0|adder|unreg_res_node[8]~I .output_mode = "comb_only";
31227
defparam \Add0|adder|unreg_res_node[8]~I .packed_mode = "false";
31228
// synopsys translate_on
31229
 
31230
// atom is at LC7_B36
31231
flex10ke_lcell \vcount[8]~I (
31232
// Equation(s):
31233
// vcount[8] = DFFEA(\Add0|adder|unreg_res_node [8] & (!\always2~74  # !\Equal0~36 ), GLOBAL(\fclk~dataout ), , , hsync_start, , )
31234
 
31235
	.dataa(hsync_start),
31236
	.datab(\Equal0~36 ),
31237
	.datac(\always2~74 ),
31238
	.datad(\Add0|adder|unreg_res_node [8]),
31239
	.aclr(gnd),
31240
	.aload(gnd),
31241
	.clk(clk),
31242
	.cin(gnd),
31243
	.cascin(vcc),
31244
	.devclrn(devclrn),
31245
	.devpor(devpor),
31246
	.combout(),
31247
	.regout(vcount[8]),
31248
	.cout(),
31249
	.cascout());
31250
// synopsys translate_off
31251
defparam \vcount[8]~I .clock_enable_mode = "true";
31252
defparam \vcount[8]~I .lut_mask = "3f00";
31253
defparam \vcount[8]~I .operation_mode = "normal";
31254
defparam \vcount[8]~I .output_mode = "reg_only";
31255
defparam \vcount[8]~I .packed_mode = "false";
31256
// synopsys translate_on
31257
 
31258
// atom is at LC2_B28
31259
flex10ke_lcell \Equal5~48_I (
31260
// Equation(s):
31261
// \Equal5~48  = !vcount[0] & !vcount[1] & !vcount[2] & !vcount[7]
31262
 
31263
	.dataa(vcount[0]),
31264
	.datab(vcount[1]),
31265
	.datac(vcount[2]),
31266
	.datad(vcount[7]),
31267
	.aclr(gnd),
31268
	.aload(gnd),
31269
	.clk(gnd),
31270
	.cin(gnd),
31271
	.cascin(vcc),
31272
	.devclrn(devclrn),
31273
	.devpor(devpor),
31274
	.combout(\Equal5~48 ),
31275
	.regout(),
31276
	.cout(),
31277
	.cascout());
31278
// synopsys translate_off
31279
defparam \Equal5~48_I .clock_enable_mode = "false";
31280
defparam \Equal5~48_I .lut_mask = "0001";
31281
defparam \Equal5~48_I .operation_mode = "normal";
31282
defparam \Equal5~48_I .output_mode = "comb_only";
31283
defparam \Equal5~48_I .packed_mode = "false";
31284
// synopsys translate_on
31285
 
31286
// atom is at LC2_B36
31287
flex10ke_lcell \Equal5~49_I (
31288
// Equation(s):
31289
// \Equal5~49  = !vcount[3] & !vcount[5] & vcount[4] & \Equal5~48 
31290
 
31291
	.dataa(vcount[3]),
31292
	.datab(vcount[5]),
31293
	.datac(vcount[4]),
31294
	.datad(\Equal5~48 ),
31295
	.aclr(gnd),
31296
	.aload(gnd),
31297
	.clk(gnd),
31298
	.cin(gnd),
31299
	.cascin(vcc),
31300
	.devclrn(devclrn),
31301
	.devpor(devpor),
31302
	.combout(\Equal5~49 ),
31303
	.regout(),
31304
	.cout(),
31305
	.cascout());
31306
// synopsys translate_off
31307
defparam \Equal5~49_I .clock_enable_mode = "false";
31308
defparam \Equal5~49_I .lut_mask = "1000";
31309
defparam \Equal5~49_I .operation_mode = "normal";
31310
defparam \Equal5~49_I .output_mode = "comb_only";
31311
defparam \Equal5~49_I .packed_mode = "false";
31312
// synopsys translate_on
31313
 
31314
// atom is at LC4_B36
31315
flex10ke_lcell \vpix~76_I (
31316
// Equation(s):
31317
// \vpix~76  = vcount[8] & vpix & (vcount[6] # !\Equal5~49 ) # !vcount[8] & (vpix # \Equal5~49  & vcount[6])
31318
 
31319
	.dataa(vcount[8]),
31320
	.datab(\Equal5~49 ),
31321
	.datac(vcount[6]),
31322
	.datad(vpix),
31323
	.aclr(gnd),
31324
	.aload(gnd),
31325
	.clk(gnd),
31326
	.cin(gnd),
31327
	.cascin(vcc),
31328
	.devclrn(devclrn),
31329
	.devpor(devpor),
31330
	.combout(\vpix~76 ),
31331
	.regout(),
31332
	.cout(),
31333
	.cascout());
31334
// synopsys translate_off
31335
defparam \vpix~76_I .clock_enable_mode = "false";
31336
defparam \vpix~76_I .lut_mask = "f740";
31337
defparam \vpix~76_I .operation_mode = "normal";
31338
defparam \vpix~76_I .output_mode = "comb_only";
31339
defparam \vpix~76_I .packed_mode = "false";
31340
// synopsys translate_on
31341
 
31342
// atom is at LC5_B36
31343
flex10ke_lcell \Equal1~38_I (
31344
// Equation(s):
31345
// \Equal1~38  = !vcount[8] & !vcount[4] & !vcount[6] & \Equal5~48 
31346
 
31347
	.dataa(vcount[8]),
31348
	.datab(vcount[4]),
31349
	.datac(vcount[6]),
31350
	.datad(\Equal5~48 ),
31351
	.aclr(gnd),
31352
	.aload(gnd),
31353
	.clk(gnd),
31354
	.cin(gnd),
31355
	.cascin(vcc),
31356
	.devclrn(devclrn),
31357
	.devpor(devpor),
31358
	.combout(\Equal1~38 ),
31359
	.regout(),
31360
	.cout(),
31361
	.cascout());
31362
// synopsys translate_off
31363
defparam \Equal1~38_I .clock_enable_mode = "false";
31364
defparam \Equal1~38_I .lut_mask = "0100";
31365
defparam \Equal1~38_I .operation_mode = "normal";
31366
defparam \Equal1~38_I .output_mode = "comb_only";
31367
defparam \Equal1~38_I .packed_mode = "false";
31368
// synopsys translate_on
31369
 
31370
// atom is at LC1_B34
31371
flex10ke_lcell \vblank~40_I (
31372
// Equation(s):
31373
// \vblank~40  = \Equal1~38  & (vcount[3] & (vblank) # !vcount[3] & !vcount[5]) # !\Equal1~38  & (vblank)
31374
 
31375
	.dataa(vcount[5]),
31376
	.datab(\Equal1~38 ),
31377
	.datac(vcount[3]),
31378
	.datad(vblank),
31379
	.aclr(gnd),
31380
	.aload(gnd),
31381
	.clk(gnd),
31382
	.cin(gnd),
31383
	.cascin(vcc),
31384
	.devclrn(devclrn),
31385
	.devpor(devpor),
31386
	.combout(\vblank~40 ),
31387
	.regout(),
31388
	.cout(),
31389
	.cascout());
31390
// synopsys translate_off
31391
defparam \vblank~40_I .clock_enable_mode = "false";
31392
defparam \vblank~40_I .lut_mask = "f704";
31393
defparam \vblank~40_I .operation_mode = "normal";
31394
defparam \vblank~40_I .output_mode = "comb_only";
31395
defparam \vblank~40_I .packed_mode = "false";
31396
// synopsys translate_on
31397
 
31398
// atom is at LC3_B36
31399
flex10ke_lcell \always2~75_I (
31400
// Equation(s):
31401
// \always2~75  = !vcount[5] & !vcount[2] & !vcount[8] & !vcount[4]
31402
 
31403
	.dataa(vcount[5]),
31404
	.datab(vcount[2]),
31405
	.datac(vcount[8]),
31406
	.datad(vcount[4]),
31407
	.aclr(gnd),
31408
	.aload(gnd),
31409
	.clk(gnd),
31410
	.cin(gnd),
31411
	.cascin(vcc),
31412
	.devclrn(devclrn),
31413
	.devpor(devpor),
31414
	.combout(\always2~75 ),
31415
	.regout(),
31416
	.cout(),
31417
	.cascout());
31418
// synopsys translate_off
31419
defparam \always2~75_I .clock_enable_mode = "false";
31420
defparam \always2~75_I .lut_mask = "0001";
31421
defparam \always2~75_I .operation_mode = "normal";
31422
defparam \always2~75_I .output_mode = "comb_only";
31423
defparam \always2~75_I .packed_mode = "false";
31424
// synopsys translate_on
31425
 
31426
// atom is at LC2_B34
31427
flex10ke_lcell \vsync~84_I (
31428
// Equation(s):
31429
// \vsync~91  = \always2~75  & line_start & \always2~74  # !vsync
31430
 
31431
	.dataa(vsync),
31432
	.datab(\always2~75 ),
31433
	.datac(line_start),
31434
	.datad(\always2~74 ),
31435
	.aclr(gnd),
31436
	.aload(gnd),
31437
	.clk(gnd),
31438
	.cin(gnd),
31439
	.cascin(vcc),
31440
	.devclrn(devclrn),
31441
	.devpor(devpor),
31442
	.combout(\vsync~84 ),
31443
	.regout(),
31444
	.cout(),
31445
	.cascout(\vsync~91 ));
31446
// synopsys translate_off
31447
defparam \vsync~84_I .clock_enable_mode = "false";
31448
defparam \vsync~84_I .lut_mask = "d555";
31449
defparam \vsync~84_I .operation_mode = "normal";
31450
defparam \vsync~84_I .output_mode = "none";
31451
defparam \vsync~84_I .packed_mode = "false";
31452
// synopsys translate_on
31453
 
31454
// atom is at LC3_B34
31455
flex10ke_lcell \vsync~87_I (
31456
// Equation(s):
31457
// \vsync~87  = (vcount[5] # !\Equal1~38  # !vcount[3] # !hsync_start) & CASCADE(\vsync~91 )
31458
 
31459
	.dataa(hsync_start),
31460
	.datab(vcount[3]),
31461
	.datac(\Equal1~38 ),
31462
	.datad(vcount[5]),
31463
	.aclr(gnd),
31464
	.aload(gnd),
31465
	.clk(gnd),
31466
	.cin(gnd),
31467
	.cascin(\vsync~91 ),
31468
	.devclrn(devclrn),
31469
	.devpor(devpor),
31470
	.combout(\vsync~87 ),
31471
	.regout(),
31472
	.cout(),
31473
	.cascout());
31474
// synopsys translate_off
31475
defparam \vsync~87_I .clock_enable_mode = "false";
31476
defparam \vsync~87_I .lut_mask = "ff7f";
31477
defparam \vsync~87_I .operation_mode = "normal";
31478
defparam \vsync~87_I .output_mode = "comb_only";
31479
defparam \vsync~87_I .packed_mode = "false";
31480
// synopsys translate_on
31481
 
31482
endmodule
31483
 
31484
module vg93 (
31485
	rst_n,
31486
	always0,
31487
	vgclk_div4_1,
31488
	vg_res_n,
31489
	vg_hrdy,
31490
	vg_rclk,
31491
	vg_rawr,
31492
	vg_a_0,
31493
	vg_a_1,
31494
	vg_wrd,
31495
	vg_side,
31496
	port_wr,
31497
	vg_wrFF,
31498
	drq_sync_1,
31499
	intrq_sync_1,
31500
	fclk,
31501
	zclk,
31502
	step,
31503
	vg_drq,
31504
	vg_wd,
31505
	vg_irq,
31506
	rdat_b_n,
31507
	vg_sr,
31508
	vg_sl,
31509
	vg_tr43,
31510
	d_0,
31511
	d_1,
31512
	d_2,
31513
	d_3,
31514
	d_4,
31515
	devpor,
31516
	devclrn,
31517
	devoe);
31518
input 	rst_n;
31519
input 	always0;
31520
output 	vgclk_div4_1;
31521
output 	vg_res_n;
31522
output 	vg_hrdy;
31523
output 	vg_rclk;
31524
output 	vg_rawr;
31525
output 	vg_a_0;
31526
output 	vg_a_1;
31527
output 	vg_wrd;
31528
output 	vg_side;
31529
input 	port_wr;
31530
input 	vg_wrFF;
31531
output 	drq_sync_1;
31532
output 	intrq_sync_1;
31533
input 	fclk;
31534
input 	zclk;
31535
input 	step;
31536
input 	vg_drq;
31537
input 	vg_wd;
31538
input 	vg_irq;
31539
input 	rdat_b_n;
31540
input 	vg_sr;
31541
input 	vg_sl;
31542
input 	vg_tr43;
31543
input 	d_0;
31544
input 	d_1;
31545
input 	d_2;
31546
input 	d_3;
31547
input 	d_4;
31548
input 	devpor;
31549
input 	devclrn;
31550
input 	devoe;
31551
 
31552
wire gnd = 1'b0;
31553
wire vcc = 1'b1;
31554
 
31555
wire \wrdelay_cnt~755 ;
31556
wire \Equal0~49 ;
31557
wire \turbo_state~30 ;
31558
wire turbo_state;
31559
wire \vg_hrdy~23 ;
31560
wire \Equal2~22 ;
31561
wire rdat_edge1;
31562
wire rdat_edge2;
31563
wire rdat;
31564
wire \rclk_cnt~191 ;
31565
wire \Equal3~51 ;
31566
wire \Equal3~52 ;
31567
wire rwidth_ena;
31568
wire \rwidth_cnt_rtl_6|wysi_counter|counter_cell[0]~COUT ;
31569
wire \rwidth_cnt_rtl_6|wysi_counter|counter_cell[1]~COUT ;
31570
wire wd;
31571
wire \wrwidth_cnt_rtl_7|wysi_counter|counter_cell[0]~COUT ;
31572
wire \wrwidth_cnt_rtl_7|wysi_counter|counter_cell[1]~COUT ;
31573
wire \wrwidth_cnt_rtl_7|wysi_counter|counter_cell[2]~COUT ;
31574
wire \wrdelay_cnt~750 ;
31575
wire \Equal1~25 ;
31576
wire \wrdelay_cnt~768 ;
31577
wire \wrdelay_cnt~758 ;
31578
wire \wrdelay_cnt~745 ;
31579
wire \wrdelay_cnt~748 ;
31580
wire \Equal1~24 ;
31581
wire wrwidth_ena;
31582
wire [2:0] drq_pulse;
31583
wire [1:0] drq_sync;
31584
wire [1:0] intrq_sync;
31585
wire [5:0] rclk_cnt;
31586
wire [4:0] rdat_sync;
31587
wire [1:0] sl_sync;
31588
wire [1:0] sr_sync;
31589
wire [2:0] step_pulse;
31590
wire [1:0] tr43_sync;
31591
wire [1:0] vgclk_div4;
31592
wire [2:0] vgclk_div7;
31593
wire [2:0] wd_sync;
31594
wire [3:0] wrdelay_cnt;
31595
wire [5:0] \Add4|adder|result_node|cs_buffer ;
31596
wire [5:0] \Add4|adder|result_node|cout ;
31597
wire [5:0] \Add4|adder|unreg_res_node ;
31598
wire [3:0] \wrwidth_cnt_rtl_7|wysi_counter|q ;
31599
wire [3:0] \rwidth_cnt_rtl_6|wysi_counter|q ;
31600
 
31601
 
31602
// atom is at LC1_J33
31603
flex10ke_lcell \vgclk_div4[1]~I (
31604
// Equation(s):
31605
// vgclk_div4_1 = DFFEA(turbo_state & !vgclk_div4_1 # !turbo_state & (vgclk_div4[0]), GLOBAL(\fclk~dataout ), , , \Equal0~49 , , )
31606
 
31607
	.dataa(\Equal0~49 ),
31608
	.datab(vgclk_div4_1),
31609
	.datac(turbo_state),
31610
	.datad(vgclk_div4[0]),
31611
	.aclr(gnd),
31612
	.aload(gnd),
31613
	.clk(fclk),
31614
	.cin(gnd),
31615
	.cascin(vcc),
31616
	.devclrn(devclrn),
31617
	.devpor(devpor),
31618
	.combout(),
31619
	.regout(vgclk_div4_1),
31620
	.cout(),
31621
	.cascout());
31622
// synopsys translate_off
31623
defparam \vgclk_div4[1]~I .clock_enable_mode = "true";
31624
defparam \vgclk_div4[1]~I .lut_mask = "3f30";
31625
defparam \vgclk_div4[1]~I .operation_mode = "normal";
31626
defparam \vgclk_div4[1]~I .output_mode = "reg_only";
31627
defparam \vgclk_div4[1]~I .packed_mode = "false";
31628
// synopsys translate_on
31629
 
31630
// atom is at LC5_B35
31631
flex10ke_lcell \vg_res_n~I (
31632
// Equation(s):
31633
// vg_res_n = DFFEA(\d[2]~5 , GLOBAL(\clkz_in~dataout ), rst_out_n, , vg_wrFF, , )
31634
 
31635
	.dataa(vg_wrFF),
31636
	.datab(vcc),
31637
	.datac(vcc),
31638
	.datad(d_2),
31639
	.aclr(!rst_n),
31640
	.aload(gnd),
31641
	.clk(zclk),
31642
	.cin(gnd),
31643
	.cascin(vcc),
31644
	.devclrn(devclrn),
31645
	.devpor(devpor),
31646
	.combout(),
31647
	.regout(vg_res_n),
31648
	.cout(),
31649
	.cascout());
31650
// synopsys translate_off
31651
defparam \vg_res_n~I .clock_enable_mode = "true";
31652
defparam \vg_res_n~I .lut_mask = "ff00";
31653
defparam \vg_res_n~I .operation_mode = "normal";
31654
defparam \vg_res_n~I .output_mode = "reg_only";
31655
defparam \vg_res_n~I .packed_mode = "false";
31656
// synopsys translate_on
31657
 
31658
// atom is at LC2_F34
31659
flex10ke_lcell \vg_hrdy~I (
31660
// Equation(s):
31661
// vg_hrdy = DFFEA(\d[3]~4 , GLOBAL(\clkz_in~dataout ), , , \vg_hrdy~23 , , )
31662
 
31663
	.dataa(\vg_hrdy~23 ),
31664
	.datab(vcc),
31665
	.datac(vcc),
31666
	.datad(d_3),
31667
	.aclr(gnd),
31668
	.aload(gnd),
31669
	.clk(zclk),
31670
	.cin(gnd),
31671
	.cascin(vcc),
31672
	.devclrn(devclrn),
31673
	.devpor(devpor),
31674
	.combout(),
31675
	.regout(vg_hrdy),
31676
	.cout(),
31677
	.cascout());
31678
// synopsys translate_off
31679
defparam \vg_hrdy~I .clock_enable_mode = "true";
31680
defparam \vg_hrdy~I .lut_mask = "ff00";
31681
defparam \vg_hrdy~I .operation_mode = "normal";
31682
defparam \vg_hrdy~I .output_mode = "reg_only";
31683
defparam \vg_hrdy~I .packed_mode = "false";
31684
// synopsys translate_on
31685
 
31686
// atom is at LC3_J31
31687
flex10ke_lcell \vg_rclk~I (
31688
// Equation(s):
31689
// vg_rclk = DFFEA(!vg_rclk, GLOBAL(\fclk~dataout ), , , \Equal3~52 , , )
31690
 
31691
	.dataa(\Equal3~52 ),
31692
	.datab(vcc),
31693
	.datac(vcc),
31694
	.datad(vg_rclk),
31695
	.aclr(gnd),
31696
	.aload(gnd),
31697
	.clk(fclk),
31698
	.cin(gnd),
31699
	.cascin(vcc),
31700
	.devclrn(devclrn),
31701
	.devpor(devpor),
31702
	.combout(),
31703
	.regout(vg_rclk),
31704
	.cout(),
31705
	.cascout());
31706
// synopsys translate_off
31707
defparam \vg_rclk~I .clock_enable_mode = "true";
31708
defparam \vg_rclk~I .lut_mask = "00ff";
31709
defparam \vg_rclk~I .operation_mode = "normal";
31710
defparam \vg_rclk~I .output_mode = "reg_only";
31711
defparam \vg_rclk~I .packed_mode = "false";
31712
// synopsys translate_on
31713
 
31714
// atom is at LC7_J32
31715
flex10ke_lcell \vg_rawr~I (
31716
// Equation(s):
31717
// vg_rawr = DFFEA(\rwidth_cnt_rtl_6|wysi_counter|q [2], GLOBAL(\fclk~dataout ), , , , , )
31718
 
31719
	.dataa(vcc),
31720
	.datab(vcc),
31721
	.datac(vcc),
31722
	.datad(\rwidth_cnt_rtl_6|wysi_counter|q [2]),
31723
	.aclr(gnd),
31724
	.aload(gnd),
31725
	.clk(fclk),
31726
	.cin(gnd),
31727
	.cascin(vcc),
31728
	.devclrn(devclrn),
31729
	.devpor(devpor),
31730
	.combout(),
31731
	.regout(vg_rawr),
31732
	.cout(),
31733
	.cascout());
31734
// synopsys translate_off
31735
defparam \vg_rawr~I .clock_enable_mode = "false";
31736
defparam \vg_rawr~I .lut_mask = "ff00";
31737
defparam \vg_rawr~I .operation_mode = "normal";
31738
defparam \vg_rawr~I .output_mode = "reg_only";
31739
defparam \vg_rawr~I .packed_mode = "false";
31740
// synopsys translate_on
31741
 
31742
// atom is at LC2_J23
31743
flex10ke_lcell \vg_a[0]~I (
31744
// Equation(s):
31745
// vg_a_0 = DFFEA(\d[0]~7 , GLOBAL(\clkz_in~dataout ), , , \vg_hrdy~23 , , )
31746
 
31747
	.dataa(\vg_hrdy~23 ),
31748
	.datab(vcc),
31749
	.datac(vcc),
31750
	.datad(d_0),
31751
	.aclr(gnd),
31752
	.aload(gnd),
31753
	.clk(zclk),
31754
	.cin(gnd),
31755
	.cascin(vcc),
31756
	.devclrn(devclrn),
31757
	.devpor(devpor),
31758
	.combout(),
31759
	.regout(vg_a_0),
31760
	.cout(),
31761
	.cascout());
31762
// synopsys translate_off
31763
defparam \vg_a[0]~I .clock_enable_mode = "true";
31764
defparam \vg_a[0]~I .lut_mask = "ff00";
31765
defparam \vg_a[0]~I .operation_mode = "normal";
31766
defparam \vg_a[0]~I .output_mode = "reg_only";
31767
defparam \vg_a[0]~I .packed_mode = "false";
31768
// synopsys translate_on
31769
 
31770
// atom is at LC1_J21
31771
flex10ke_lcell \vg_a[1]~I (
31772
// Equation(s):
31773
// vg_a_1 = DFFEA(\d[1]~6 , GLOBAL(\clkz_in~dataout ), , , \vg_hrdy~23 , , )
31774
 
31775
	.dataa(\vg_hrdy~23 ),
31776
	.datab(vcc),
31777
	.datac(vcc),
31778
	.datad(d_1),
31779
	.aclr(gnd),
31780
	.aload(gnd),
31781
	.clk(zclk),
31782
	.cin(gnd),
31783
	.cascin(vcc),
31784
	.devclrn(devclrn),
31785
	.devpor(devpor),
31786
	.combout(),
31787
	.regout(vg_a_1),
31788
	.cout(),
31789
	.cascout());
31790
// synopsys translate_off
31791
defparam \vg_a[1]~I .clock_enable_mode = "true";
31792
defparam \vg_a[1]~I .lut_mask = "ff00";
31793
defparam \vg_a[1]~I .operation_mode = "normal";
31794
defparam \vg_a[1]~I .output_mode = "reg_only";
31795
defparam \vg_a[1]~I .packed_mode = "false";
31796
// synopsys translate_on
31797
 
31798
// atom is at LC7_F26
31799
flex10ke_lcell \vg_wrd~I (
31800
// Equation(s):
31801
// vg_wrd = DFFEA(\wrwidth_cnt_rtl_7|wysi_counter|q [0] # \wrwidth_cnt_rtl_7|wysi_counter|q [1] # \wrwidth_cnt_rtl_7|wysi_counter|q [2], GLOBAL(\fclk~dataout ), , , , , )
31802
 
31803
	.dataa(vcc),
31804
	.datab(\wrwidth_cnt_rtl_7|wysi_counter|q [0]),
31805
	.datac(\wrwidth_cnt_rtl_7|wysi_counter|q [1]),
31806
	.datad(\wrwidth_cnt_rtl_7|wysi_counter|q [2]),
31807
	.aclr(gnd),
31808
	.aload(gnd),
31809
	.clk(fclk),
31810
	.cin(gnd),
31811
	.cascin(vcc),
31812
	.devclrn(devclrn),
31813
	.devpor(devpor),
31814
	.combout(),
31815
	.regout(vg_wrd),
31816
	.cout(),
31817
	.cascout());
31818
// synopsys translate_off
31819
defparam \vg_wrd~I .clock_enable_mode = "false";
31820
defparam \vg_wrd~I .lut_mask = "fffc";
31821
defparam \vg_wrd~I .operation_mode = "normal";
31822
defparam \vg_wrd~I .output_mode = "reg_only";
31823
defparam \vg_wrd~I .packed_mode = "false";
31824
// synopsys translate_on
31825
 
31826
// atom is at LC2_J25
31827
flex10ke_lcell \vg_side~I (
31828
// Equation(s):
31829
// vg_side = DFFEA(!\d[4]~3 , GLOBAL(\clkz_in~dataout ), , , \vg_hrdy~23 , , )
31830
 
31831
	.dataa(\vg_hrdy~23 ),
31832
	.datab(vcc),
31833
	.datac(vcc),
31834
	.datad(d_4),
31835
	.aclr(gnd),
31836
	.aload(gnd),
31837
	.clk(zclk),
31838
	.cin(gnd),
31839
	.cascin(vcc),
31840
	.devclrn(devclrn),
31841
	.devpor(devpor),
31842
	.combout(),
31843
	.regout(vg_side),
31844
	.cout(),
31845
	.cascout());
31846
// synopsys translate_off
31847
defparam \vg_side~I .clock_enable_mode = "true";
31848
defparam \vg_side~I .lut_mask = "00ff";
31849
defparam \vg_side~I .operation_mode = "normal";
31850
defparam \vg_side~I .output_mode = "reg_only";
31851
defparam \vg_side~I .packed_mode = "false";
31852
// synopsys translate_on
31853
 
31854
// atom is at LC1_E33
31855
flex10ke_lcell \drq_sync[1]~I (
31856
// Equation(s):
31857
// drq_sync_1 = DFFEA(drq_sync[0], GLOBAL(\clkz_in~dataout ), , , , , )
31858
 
31859
	.dataa(vcc),
31860
	.datab(vcc),
31861
	.datac(vcc),
31862
	.datad(drq_sync[0]),
31863
	.aclr(gnd),
31864
	.aload(gnd),
31865
	.clk(zclk),
31866
	.cin(gnd),
31867
	.cascin(vcc),
31868
	.devclrn(devclrn),
31869
	.devpor(devpor),
31870
	.combout(),
31871
	.regout(drq_sync_1),
31872
	.cout(),
31873
	.cascout());
31874
// synopsys translate_off
31875
defparam \drq_sync[1]~I .clock_enable_mode = "false";
31876
defparam \drq_sync[1]~I .lut_mask = "ff00";
31877
defparam \drq_sync[1]~I .operation_mode = "normal";
31878
defparam \drq_sync[1]~I .output_mode = "reg_only";
31879
defparam \drq_sync[1]~I .packed_mode = "false";
31880
// synopsys translate_on
31881
 
31882
// atom is at LC4_H24
31883
flex10ke_lcell \intrq_sync[1]~I (
31884
// Equation(s):
31885
// intrq_sync_1 = DFFEA(intrq_sync[0], GLOBAL(\clkz_in~dataout ), , , , , )
31886
 
31887
	.dataa(vcc),
31888
	.datab(vcc),
31889
	.datac(vcc),
31890
	.datad(intrq_sync[0]),
31891
	.aclr(gnd),
31892
	.aload(gnd),
31893
	.clk(zclk),
31894
	.cin(gnd),
31895
	.cascin(vcc),
31896
	.devclrn(devclrn),
31897
	.devpor(devpor),
31898
	.combout(),
31899
	.regout(intrq_sync_1),
31900
	.cout(),
31901
	.cascout());
31902
// synopsys translate_off
31903
defparam \intrq_sync[1]~I .clock_enable_mode = "false";
31904
defparam \intrq_sync[1]~I .lut_mask = "ff00";
31905
defparam \intrq_sync[1]~I .operation_mode = "normal";
31906
defparam \intrq_sync[1]~I .output_mode = "reg_only";
31907
defparam \intrq_sync[1]~I .packed_mode = "false";
31908
// synopsys translate_on
31909
 
31910
// atom is at LC7_J33
31911
flex10ke_lcell \vgclk_div7[0]~I (
31912
// Equation(s):
31913
// vgclk_div7[0] = DFFEA(!vgclk_div7[0] & !\Equal0~49 , GLOBAL(\fclk~dataout ), , , , , )
31914
 
31915
	.dataa(vcc),
31916
	.datab(vcc),
31917
	.datac(vgclk_div7[0]),
31918
	.datad(\Equal0~49 ),
31919
	.aclr(gnd),
31920
	.aload(gnd),
31921
	.clk(fclk),
31922
	.cin(gnd),
31923
	.cascin(vcc),
31924
	.devclrn(devclrn),
31925
	.devpor(devpor),
31926
	.combout(),
31927
	.regout(vgclk_div7[0]),
31928
	.cout(),
31929
	.cascout());
31930
// synopsys translate_off
31931
defparam \vgclk_div7[0]~I .clock_enable_mode = "false";
31932
defparam \vgclk_div7[0]~I .lut_mask = "000f";
31933
defparam \vgclk_div7[0]~I .operation_mode = "normal";
31934
defparam \vgclk_div7[0]~I .output_mode = "reg_only";
31935
defparam \vgclk_div7[0]~I .packed_mode = "false";
31936
// synopsys translate_on
31937
 
31938
// atom is at LC5_J33
31939
flex10ke_lcell \vgclk_div7[1]~I (
31940
// Equation(s):
31941
// vgclk_div7[1] = DFFEA(!\Equal0~49  & (vgclk_div7[0] $ vgclk_div7[1]), GLOBAL(\fclk~dataout ), , , , , )
31942
 
31943
	.dataa(vcc),
31944
	.datab(vgclk_div7[0]),
31945
	.datac(vgclk_div7[1]),
31946
	.datad(\Equal0~49 ),
31947
	.aclr(gnd),
31948
	.aload(gnd),
31949
	.clk(fclk),
31950
	.cin(gnd),
31951
	.cascin(vcc),
31952
	.devclrn(devclrn),
31953
	.devpor(devpor),
31954
	.combout(),
31955
	.regout(vgclk_div7[1]),
31956
	.cout(),
31957
	.cascout());
31958
// synopsys translate_off
31959
defparam \vgclk_div7[1]~I .clock_enable_mode = "false";
31960
defparam \vgclk_div7[1]~I .lut_mask = "003c";
31961
defparam \vgclk_div7[1]~I .operation_mode = "normal";
31962
defparam \vgclk_div7[1]~I .output_mode = "reg_only";
31963
defparam \vgclk_div7[1]~I .packed_mode = "false";
31964
// synopsys translate_on
31965
 
31966
// atom is at LC4_J33
31967
flex10ke_lcell \vgclk_div7[2]~I (
31968
// Equation(s):
31969
// vgclk_div7[2] = DFFEA(!\Equal0~49  & (vgclk_div7[2] $ (vgclk_div7[0] & vgclk_div7[1])), GLOBAL(\fclk~dataout ), , , , , )
31970
 
31971
	.dataa(vgclk_div7[2]),
31972
	.datab(vgclk_div7[0]),
31973
	.datac(vgclk_div7[1]),
31974
	.datad(\Equal0~49 ),
31975
	.aclr(gnd),
31976
	.aload(gnd),
31977
	.clk(fclk),
31978
	.cin(gnd),
31979
	.cascin(vcc),
31980
	.devclrn(devclrn),
31981
	.devpor(devpor),
31982
	.combout(),
31983
	.regout(vgclk_div7[2]),
31984
	.cout(),
31985
	.cascout());
31986
// synopsys translate_off
31987
defparam \vgclk_div7[2]~I .clock_enable_mode = "false";
31988
defparam \vgclk_div7[2]~I .lut_mask = "006a";
31989
defparam \vgclk_div7[2]~I .operation_mode = "normal";
31990
defparam \vgclk_div7[2]~I .output_mode = "reg_only";
31991
defparam \vgclk_div7[2]~I .packed_mode = "false";
31992
// synopsys translate_on
31993
 
31994
// atom is at LC6_J33
31995
flex10ke_lcell \Equal0~49_I (
31996
// Equation(s):
31997
// \Equal0~49  = vgclk_div7[1] & vgclk_div7[2]
31998
 
31999
	.dataa(vcc),
32000
	.datab(vcc),
32001
	.datac(vgclk_div7[1]),
32002
	.datad(vgclk_div7[2]),
32003
	.aclr(gnd),
32004
	.aload(gnd),
32005
	.clk(gnd),
32006
	.cin(gnd),
32007
	.cascin(vcc),
32008
	.devclrn(devclrn),
32009
	.devpor(devpor),
32010
	.combout(\Equal0~49 ),
32011
	.regout(),
32012
	.cout(),
32013
	.cascout());
32014
// synopsys translate_off
32015
defparam \Equal0~49_I .clock_enable_mode = "false";
32016
defparam \Equal0~49_I .lut_mask = "f000";
32017
defparam \Equal0~49_I .operation_mode = "normal";
32018
defparam \Equal0~49_I .output_mode = "comb_only";
32019
defparam \Equal0~49_I .packed_mode = "false";
32020
// synopsys translate_on
32021
 
32022
// atom is at LC8_J27
32023
flex10ke_lcell \drq_pulse[0]~I (
32024
// Equation(s):
32025
// drq_pulse[0] = DFFEA(\vg_drq~dataout , GLOBAL(\fclk~dataout ), , , , , )
32026
 
32027
	.dataa(vcc),
32028
	.datab(vcc),
32029
	.datac(vcc),
32030
	.datad(vg_drq),
32031
	.aclr(gnd),
32032
	.aload(gnd),
32033
	.clk(fclk),
32034
	.cin(gnd),
32035
	.cascin(vcc),
32036
	.devclrn(devclrn),
32037
	.devpor(devpor),
32038
	.combout(),
32039
	.regout(drq_pulse[0]),
32040
	.cout(),
32041
	.cascout());
32042
// synopsys translate_off
32043
defparam \drq_pulse[0]~I .clock_enable_mode = "false";
32044
defparam \drq_pulse[0]~I .lut_mask = "ff00";
32045
defparam \drq_pulse[0]~I .operation_mode = "normal";
32046
defparam \drq_pulse[0]~I .output_mode = "reg_only";
32047
defparam \drq_pulse[0]~I .packed_mode = "false";
32048
// synopsys translate_on
32049
 
32050
// atom is at LC6_J27
32051
flex10ke_lcell \drq_pulse[1]~I (
32052
// Equation(s):
32053
// drq_pulse[1] = DFFEA(drq_pulse[0], GLOBAL(\fclk~dataout ), , , , , )
32054
 
32055
	.dataa(vcc),
32056
	.datab(vcc),
32057
	.datac(vcc),
32058
	.datad(drq_pulse[0]),
32059
	.aclr(gnd),
32060
	.aload(gnd),
32061
	.clk(fclk),
32062
	.cin(gnd),
32063
	.cascin(vcc),
32064
	.devclrn(devclrn),
32065
	.devpor(devpor),
32066
	.combout(),
32067
	.regout(drq_pulse[1]),
32068
	.cout(),
32069
	.cascout());
32070
// synopsys translate_off
32071
defparam \drq_pulse[1]~I .clock_enable_mode = "false";
32072
defparam \drq_pulse[1]~I .lut_mask = "ff00";
32073
defparam \drq_pulse[1]~I .operation_mode = "normal";
32074
defparam \drq_pulse[1]~I .output_mode = "reg_only";
32075
defparam \drq_pulse[1]~I .packed_mode = "false";
32076
// synopsys translate_on
32077
 
32078
// atom is at LC5_J27
32079
flex10ke_lcell \drq_pulse[2]~I (
32080
// Equation(s):
32081
// drq_pulse[2] = DFFEA(drq_pulse[1], GLOBAL(\fclk~dataout ), , , , , )
32082
 
32083
	.dataa(vcc),
32084
	.datab(vcc),
32085
	.datac(vcc),
32086
	.datad(drq_pulse[1]),
32087
	.aclr(gnd),
32088
	.aload(gnd),
32089
	.clk(fclk),
32090
	.cin(gnd),
32091
	.cascin(vcc),
32092
	.devclrn(devclrn),
32093
	.devpor(devpor),
32094
	.combout(),
32095
	.regout(drq_pulse[2]),
32096
	.cout(),
32097
	.cascout());
32098
// synopsys translate_off
32099
defparam \drq_pulse[2]~I .clock_enable_mode = "false";
32100
defparam \drq_pulse[2]~I .lut_mask = "ff00";
32101
defparam \drq_pulse[2]~I .operation_mode = "normal";
32102
defparam \drq_pulse[2]~I .output_mode = "reg_only";
32103
defparam \drq_pulse[2]~I .packed_mode = "false";
32104
// synopsys translate_on
32105
 
32106
// atom is at LC7_J27
32107
flex10ke_lcell \step_pulse[0]~I (
32108
// Equation(s):
32109
// step_pulse[0] = DFFEA(\step~dataout , GLOBAL(\fclk~dataout ), , , , , )
32110
 
32111
	.dataa(vcc),
32112
	.datab(vcc),
32113
	.datac(vcc),
32114
	.datad(step),
32115
	.aclr(gnd),
32116
	.aload(gnd),
32117
	.clk(fclk),
32118
	.cin(gnd),
32119
	.cascin(vcc),
32120
	.devclrn(devclrn),
32121
	.devpor(devpor),
32122
	.combout(),
32123
	.regout(step_pulse[0]),
32124
	.cout(),
32125
	.cascout());
32126
// synopsys translate_off
32127
defparam \step_pulse[0]~I .clock_enable_mode = "false";
32128
defparam \step_pulse[0]~I .lut_mask = "ff00";
32129
defparam \step_pulse[0]~I .operation_mode = "normal";
32130
defparam \step_pulse[0]~I .output_mode = "reg_only";
32131
defparam \step_pulse[0]~I .packed_mode = "false";
32132
// synopsys translate_on
32133
 
32134
// atom is at LC2_J27
32135
flex10ke_lcell \step_pulse[1]~I (
32136
// Equation(s):
32137
// step_pulse[1] = DFFEA(step_pulse[0], GLOBAL(\fclk~dataout ), , , , , )
32138
 
32139
	.dataa(vcc),
32140
	.datab(vcc),
32141
	.datac(vcc),
32142
	.datad(step_pulse[0]),
32143
	.aclr(gnd),
32144
	.aload(gnd),
32145
	.clk(fclk),
32146
	.cin(gnd),
32147
	.cascin(vcc),
32148
	.devclrn(devclrn),
32149
	.devpor(devpor),
32150
	.combout(),
32151
	.regout(step_pulse[1]),
32152
	.cout(),
32153
	.cascout());
32154
// synopsys translate_off
32155
defparam \step_pulse[1]~I .clock_enable_mode = "false";
32156
defparam \step_pulse[1]~I .lut_mask = "ff00";
32157
defparam \step_pulse[1]~I .operation_mode = "normal";
32158
defparam \step_pulse[1]~I .output_mode = "reg_only";
32159
defparam \step_pulse[1]~I .packed_mode = "false";
32160
// synopsys translate_on
32161
 
32162
// atom is at LC3_J27
32163
flex10ke_lcell \step_pulse[2]~I (
32164
// Equation(s):
32165
// step_pulse[2] = DFFEA(step_pulse[1], GLOBAL(\fclk~dataout ), , , , , )
32166
 
32167
	.dataa(vcc),
32168
	.datab(vcc),
32169
	.datac(vcc),
32170
	.datad(step_pulse[1]),
32171
	.aclr(gnd),
32172
	.aload(gnd),
32173
	.clk(fclk),
32174
	.cin(gnd),
32175
	.cascin(vcc),
32176
	.devclrn(devclrn),
32177
	.devpor(devpor),
32178
	.combout(),
32179
	.regout(step_pulse[2]),
32180
	.cout(),
32181
	.cascout());
32182
// synopsys translate_off
32183
defparam \step_pulse[2]~I .clock_enable_mode = "false";
32184
defparam \step_pulse[2]~I .lut_mask = "ff00";
32185
defparam \step_pulse[2]~I .operation_mode = "normal";
32186
defparam \step_pulse[2]~I .output_mode = "reg_only";
32187
defparam \step_pulse[2]~I .packed_mode = "false";
32188
// synopsys translate_on
32189
 
32190
// atom is at LC4_J27
32191
flex10ke_lcell \turbo_state~30_I (
32192
// Equation(s):
32193
// \turbo_state~30  = turbo_state # !step_pulse[2] & step_pulse[1]
32194
 
32195
	.dataa(vcc),
32196
	.datab(step_pulse[2]),
32197
	.datac(step_pulse[1]),
32198
	.datad(turbo_state),
32199
	.aclr(gnd),
32200
	.aload(gnd),
32201
	.clk(gnd),
32202
	.cin(gnd),
32203
	.cascin(vcc),
32204
	.devclrn(devclrn),
32205
	.devpor(devpor),
32206
	.combout(\turbo_state~30 ),
32207
	.regout(),
32208
	.cout(),
32209
	.cascout());
32210
// synopsys translate_off
32211
defparam \turbo_state~30_I .clock_enable_mode = "false";
32212
defparam \turbo_state~30_I .lut_mask = "ff30";
32213
defparam \turbo_state~30_I .operation_mode = "normal";
32214
defparam \turbo_state~30_I .output_mode = "comb_only";
32215
defparam \turbo_state~30_I .packed_mode = "false";
32216
// synopsys translate_on
32217
 
32218
// atom is at LC1_J27
32219
flex10ke_lcell \turbo_state~I (
32220
// Equation(s):
32221
// turbo_state = DFFEA(\turbo_state~30  & (drq_pulse[2] # !drq_pulse[1]), GLOBAL(\fclk~dataout ), rst_out_n, , , , )
32222
 
32223
	.dataa(vcc),
32224
	.datab(drq_pulse[1]),
32225
	.datac(drq_pulse[2]),
32226
	.datad(\turbo_state~30 ),
32227
	.aclr(!rst_n),
32228
	.aload(gnd),
32229
	.clk(fclk),
32230
	.cin(gnd),
32231
	.cascin(vcc),
32232
	.devclrn(devclrn),
32233
	.devpor(devpor),
32234
	.combout(),
32235
	.regout(turbo_state),
32236
	.cout(),
32237
	.cascout());
32238
// synopsys translate_off
32239
defparam \turbo_state~I .clock_enable_mode = "false";
32240
defparam \turbo_state~I .lut_mask = "f300";
32241
defparam \turbo_state~I .operation_mode = "normal";
32242
defparam \turbo_state~I .output_mode = "reg_only";
32243
defparam \turbo_state~I .packed_mode = "false";
32244
// synopsys translate_on
32245
 
32246
// atom is at LC3_J33
32247
flex10ke_lcell \vgclk_div4[0]~I (
32248
// Equation(s):
32249
// vgclk_div4[0] = DFFEA(!vgclk_div4_1, GLOBAL(\fclk~dataout ), , , \Equal0~49 , , )
32250
 
32251
	.dataa(\Equal0~49 ),
32252
	.datab(vcc),
32253
	.datac(vcc),
32254
	.datad(vgclk_div4_1),
32255
	.aclr(gnd),
32256
	.aload(gnd),
32257
	.clk(fclk),
32258
	.cin(gnd),
32259
	.cascin(vcc),
32260
	.devclrn(devclrn),
32261
	.devpor(devpor),
32262
	.combout(),
32263
	.regout(vgclk_div4[0]),
32264
	.cout(),
32265
	.cascout());
32266
// synopsys translate_off
32267
defparam \vgclk_div4[0]~I .clock_enable_mode = "true";
32268
defparam \vgclk_div4[0]~I .lut_mask = "00ff";
32269
defparam \vgclk_div4[0]~I .operation_mode = "normal";
32270
defparam \vgclk_div4[0]~I .output_mode = "reg_only";
32271
defparam \vgclk_div4[0]~I .packed_mode = "false";
32272
// synopsys translate_on
32273
 
32274
// atom is at LC1_F34
32275
flex10ke_lcell \vg_hrdy~23_I (
32276
// Equation(s):
32277
// \vg_hrdy~23  = port_wr & always0 & rst_out_n
32278
 
32279
	.dataa(vcc),
32280
	.datab(port_wr),
32281
	.datac(always0),
32282
	.datad(rst_n),
32283
	.aclr(gnd),
32284
	.aload(gnd),
32285
	.clk(gnd),
32286
	.cin(gnd),
32287
	.cascin(vcc),
32288
	.devclrn(devclrn),
32289
	.devpor(devpor),
32290
	.combout(\vg_hrdy~23 ),
32291
	.regout(),
32292
	.cout(),
32293
	.cascout());
32294
// synopsys translate_off
32295
defparam \vg_hrdy~23_I .clock_enable_mode = "false";
32296
defparam \vg_hrdy~23_I .lut_mask = "c000";
32297
defparam \vg_hrdy~23_I .operation_mode = "normal";
32298
defparam \vg_hrdy~23_I .output_mode = "comb_only";
32299
defparam \vg_hrdy~23_I .packed_mode = "false";
32300
// synopsys translate_on
32301
 
32302
// atom is at LC2_J22
32303
flex10ke_lcell \rclk_cnt[0]~I (
32304
// Equation(s):
32305
// rclk_cnt[0] = DFFEA(\rclk_cnt~191  # !rclk_cnt[0], GLOBAL(\fclk~dataout ), , , , , )
32306
// \Add4|adder|result_node|cout [0] = CARRY(rclk_cnt[0])
32307
 
32308
	.dataa(\rclk_cnt~191 ),
32309
	.datab(rclk_cnt[0]),
32310
	.datac(vcc),
32311
	.datad(vcc),
32312
	.aclr(gnd),
32313
	.aload(gnd),
32314
	.clk(fclk),
32315
	.cin(gnd),
32316
	.cascin(vcc),
32317
	.devclrn(devclrn),
32318
	.devpor(devpor),
32319
	.combout(),
32320
	.regout(rclk_cnt[0]),
32321
	.cout(\Add4|adder|result_node|cout [0]),
32322
	.cascout());
32323
// synopsys translate_off
32324
defparam \rclk_cnt[0]~I .clock_enable_mode = "false";
32325
defparam \rclk_cnt[0]~I .lut_mask = "bbcc";
32326
defparam \rclk_cnt[0]~I .operation_mode = "arithmetic";
32327
defparam \rclk_cnt[0]~I .output_mode = "reg_only";
32328
defparam \rclk_cnt[0]~I .packed_mode = "false";
32329
// synopsys translate_on
32330
 
32331
// atom is at LC3_J22
32332
flex10ke_lcell \Add4|adder|result_node|cs_buffer[1]~I (
32333
// Equation(s):
32334
// \Add4|adder|result_node|cs_buffer [1] = rclk_cnt[1] $ \Add4|adder|result_node|cout [0]
32335
// \Add4|adder|result_node|cout [1] = CARRY(rclk_cnt[1] # \Add4|adder|result_node|cout [0])
32336
 
32337
	.dataa(vcc),
32338
	.datab(rclk_cnt[1]),
32339
	.datac(vcc),
32340
	.datad(vcc),
32341
	.aclr(gnd),
32342
	.aload(gnd),
32343
	.clk(gnd),
32344
	.cin(\Add4|adder|result_node|cout [0]),
32345
	.cascin(vcc),
32346
	.devclrn(devclrn),
32347
	.devpor(devpor),
32348
	.combout(\Add4|adder|result_node|cs_buffer [1]),
32349
	.regout(),
32350
	.cout(\Add4|adder|result_node|cout [1]),
32351
	.cascout());
32352
// synopsys translate_off
32353
defparam \Add4|adder|result_node|cs_buffer[1]~I .cin_used = "true";
32354
defparam \Add4|adder|result_node|cs_buffer[1]~I .clock_enable_mode = "false";
32355
defparam \Add4|adder|result_node|cs_buffer[1]~I .lut_mask = "3cfc";
32356
defparam \Add4|adder|result_node|cs_buffer[1]~I .operation_mode = "arithmetic";
32357
defparam \Add4|adder|result_node|cs_buffer[1]~I .output_mode = "comb_only";
32358
defparam \Add4|adder|result_node|cs_buffer[1]~I .packed_mode = "false";
32359
// synopsys translate_on
32360
 
32361
// atom is at LC7_J35
32362
flex10ke_lcell \rdat_sync[0]~I (
32363
// Equation(s):
32364
// rdat_sync[0] = DFFEA(!\rdat_b_n~dataout , GLOBAL(\fclk~dataout ), , , , , )
32365
 
32366
	.dataa(vcc),
32367
	.datab(vcc),
32368
	.datac(vcc),
32369
	.datad(rdat_b_n),
32370
	.aclr(gnd),
32371
	.aload(gnd),
32372
	.clk(fclk),
32373
	.cin(gnd),
32374
	.cascin(vcc),
32375
	.devclrn(devclrn),
32376
	.devpor(devpor),
32377
	.combout(),
32378
	.regout(rdat_sync[0]),
32379
	.cout(),
32380
	.cascout());
32381
// synopsys translate_off
32382
defparam \rdat_sync[0]~I .clock_enable_mode = "false";
32383
defparam \rdat_sync[0]~I .lut_mask = "00ff";
32384
defparam \rdat_sync[0]~I .operation_mode = "normal";
32385
defparam \rdat_sync[0]~I .output_mode = "reg_only";
32386
defparam \rdat_sync[0]~I .packed_mode = "false";
32387
// synopsys translate_on
32388
 
32389
// atom is at LC6_J35
32390
flex10ke_lcell \rdat_sync[1]~I (
32391
// Equation(s):
32392
// rdat_sync[1] = DFFEA(rdat_sync[0], GLOBAL(\fclk~dataout ), , , , , )
32393
 
32394
	.dataa(vcc),
32395
	.datab(vcc),
32396
	.datac(vcc),
32397
	.datad(rdat_sync[0]),
32398
	.aclr(gnd),
32399
	.aload(gnd),
32400
	.clk(fclk),
32401
	.cin(gnd),
32402
	.cascin(vcc),
32403
	.devclrn(devclrn),
32404
	.devpor(devpor),
32405
	.combout(),
32406
	.regout(rdat_sync[1]),
32407
	.cout(),
32408
	.cascout());
32409
// synopsys translate_off
32410
defparam \rdat_sync[1]~I .clock_enable_mode = "false";
32411
defparam \rdat_sync[1]~I .lut_mask = "ff00";
32412
defparam \rdat_sync[1]~I .operation_mode = "normal";
32413
defparam \rdat_sync[1]~I .output_mode = "reg_only";
32414
defparam \rdat_sync[1]~I .packed_mode = "false";
32415
// synopsys translate_on
32416
 
32417
// atom is at LC5_J35
32418
flex10ke_lcell \rdat_sync[2]~I (
32419
// Equation(s):
32420
// rdat_sync[2] = DFFEA(rdat_sync[1], GLOBAL(\fclk~dataout ), , , , , )
32421
 
32422
	.dataa(vcc),
32423
	.datab(vcc),
32424
	.datac(vcc),
32425
	.datad(rdat_sync[1]),
32426
	.aclr(gnd),
32427
	.aload(gnd),
32428
	.clk(fclk),
32429
	.cin(gnd),
32430
	.cascin(vcc),
32431
	.devclrn(devclrn),
32432
	.devpor(devpor),
32433
	.combout(),
32434
	.regout(rdat_sync[2]),
32435
	.cout(),
32436
	.cascout());
32437
// synopsys translate_off
32438
defparam \rdat_sync[2]~I .clock_enable_mode = "false";
32439
defparam \rdat_sync[2]~I .lut_mask = "ff00";
32440
defparam \rdat_sync[2]~I .operation_mode = "normal";
32441
defparam \rdat_sync[2]~I .output_mode = "reg_only";
32442
defparam \rdat_sync[2]~I .packed_mode = "false";
32443
// synopsys translate_on
32444
 
32445
// atom is at LC4_J35
32446
flex10ke_lcell \rdat_sync[3]~I (
32447
// Equation(s):
32448
// rdat_sync[3] = DFFEA(rdat_sync[2], GLOBAL(\fclk~dataout ), , , , , )
32449
 
32450
	.dataa(vcc),
32451
	.datab(vcc),
32452
	.datac(vcc),
32453
	.datad(rdat_sync[2]),
32454
	.aclr(gnd),
32455
	.aload(gnd),
32456
	.clk(fclk),
32457
	.cin(gnd),
32458
	.cascin(vcc),
32459
	.devclrn(devclrn),
32460
	.devpor(devpor),
32461
	.combout(),
32462
	.regout(rdat_sync[3]),
32463
	.cout(),
32464
	.cascout());
32465
// synopsys translate_off
32466
defparam \rdat_sync[3]~I .clock_enable_mode = "false";
32467
defparam \rdat_sync[3]~I .lut_mask = "ff00";
32468
defparam \rdat_sync[3]~I .operation_mode = "normal";
32469
defparam \rdat_sync[3]~I .output_mode = "reg_only";
32470
defparam \rdat_sync[3]~I .packed_mode = "false";
32471
// synopsys translate_on
32472
 
32473
// atom is at LC3_J35
32474
flex10ke_lcell \rdat_sync[4]~I (
32475
// Equation(s):
32476
// rdat_sync[4] = DFFEA(rdat_sync[3], GLOBAL(\fclk~dataout ), , , , , )
32477
 
32478
	.dataa(vcc),
32479
	.datab(vcc),
32480
	.datac(vcc),
32481
	.datad(rdat_sync[3]),
32482
	.aclr(gnd),
32483
	.aload(gnd),
32484
	.clk(fclk),
32485
	.cin(gnd),
32486
	.cascin(vcc),
32487
	.devclrn(devclrn),
32488
	.devpor(devpor),
32489
	.combout(),
32490
	.regout(rdat_sync[4]),
32491
	.cout(),
32492
	.cascout());
32493
// synopsys translate_off
32494
defparam \rdat_sync[4]~I .clock_enable_mode = "false";
32495
defparam \rdat_sync[4]~I .lut_mask = "ff00";
32496
defparam \rdat_sync[4]~I .operation_mode = "normal";
32497
defparam \rdat_sync[4]~I .output_mode = "reg_only";
32498
defparam \rdat_sync[4]~I .packed_mode = "false";
32499
// synopsys translate_on
32500
 
32501
// atom is at LC2_J35
32502
flex10ke_lcell \Equal2~22_I (
32503
// Equation(s):
32504
// \Equal2~22  = rdat_sync[1] & rdat_sync[2] & rdat_sync[3] & rdat_sync[4]
32505
 
32506
	.dataa(rdat_sync[1]),
32507
	.datab(rdat_sync[2]),
32508
	.datac(rdat_sync[3]),
32509
	.datad(rdat_sync[4]),
32510
	.aclr(gnd),
32511
	.aload(gnd),
32512
	.clk(gnd),
32513
	.cin(gnd),
32514
	.cascin(vcc),
32515
	.devclrn(devclrn),
32516
	.devpor(devpor),
32517
	.combout(\Equal2~22 ),
32518
	.regout(),
32519
	.cout(),
32520
	.cascout());
32521
// synopsys translate_off
32522
defparam \Equal2~22_I .clock_enable_mode = "false";
32523
defparam \Equal2~22_I .lut_mask = "8000";
32524
defparam \Equal2~22_I .operation_mode = "normal";
32525
defparam \Equal2~22_I .output_mode = "comb_only";
32526
defparam \Equal2~22_I .packed_mode = "false";
32527
// synopsys translate_on
32528
 
32529
// atom is at LC5_J31
32530
flex10ke_lcell \rdat_edge1~I (
32531
// Equation(s):
32532
// rdat_edge1 = DFFEA(\Equal2~22  # !\Equal3~52  & rdat_edge1, GLOBAL(\fclk~dataout ), , , , , )
32533
 
32534
	.dataa(vcc),
32535
	.datab(\Equal3~52 ),
32536
	.datac(rdat_edge1),
32537
	.datad(\Equal2~22 ),
32538
	.aclr(gnd),
32539
	.aload(gnd),
32540
	.clk(fclk),
32541
	.cin(gnd),
32542
	.cascin(vcc),
32543
	.devclrn(devclrn),
32544
	.devpor(devpor),
32545
	.combout(),
32546
	.regout(rdat_edge1),
32547
	.cout(),
32548
	.cascout());
32549
// synopsys translate_off
32550
defparam \rdat_edge1~I .clock_enable_mode = "false";
32551
defparam \rdat_edge1~I .lut_mask = "ff30";
32552
defparam \rdat_edge1~I .operation_mode = "normal";
32553
defparam \rdat_edge1~I .output_mode = "reg_only";
32554
defparam \rdat_edge1~I .packed_mode = "false";
32555
// synopsys translate_on
32556
 
32557
// atom is at LC6_J31
32558
flex10ke_lcell \rdat_edge2~I (
32559
// Equation(s):
32560
// rdat_edge2 = DFFEA(rdat_edge1, GLOBAL(\fclk~dataout ), , , , , )
32561
 
32562
	.dataa(vcc),
32563
	.datab(vcc),
32564
	.datac(vcc),
32565
	.datad(rdat_edge1),
32566
	.aclr(gnd),
32567
	.aload(gnd),
32568
	.clk(fclk),
32569
	.cin(gnd),
32570
	.cascin(vcc),
32571
	.devclrn(devclrn),
32572
	.devpor(devpor),
32573
	.combout(),
32574
	.regout(rdat_edge2),
32575
	.cout(),
32576
	.cascout());
32577
// synopsys translate_off
32578
defparam \rdat_edge2~I .clock_enable_mode = "false";
32579
defparam \rdat_edge2~I .lut_mask = "ff00";
32580
defparam \rdat_edge2~I .operation_mode = "normal";
32581
defparam \rdat_edge2~I .output_mode = "reg_only";
32582
defparam \rdat_edge2~I .packed_mode = "false";
32583
// synopsys translate_on
32584
 
32585
// atom is at LC8_J31
32586
flex10ke_lcell \rclk_cnt[1]~I (
32587
// Equation(s):
32588
// rclk_cnt[1] = DFFEA(!\Add4|adder|result_node|cs_buffer [1] & (rdat_edge2 # !rdat_edge1), GLOBAL(\fclk~dataout ), , , , , )
32589
 
32590
	.dataa(vcc),
32591
	.datab(\Add4|adder|result_node|cs_buffer [1]),
32592
	.datac(rdat_edge1),
32593
	.datad(rdat_edge2),
32594
	.aclr(gnd),
32595
	.aload(gnd),
32596
	.clk(fclk),
32597
	.cin(gnd),
32598
	.cascin(vcc),
32599
	.devclrn(devclrn),
32600
	.devpor(devpor),
32601
	.combout(),
32602
	.regout(rclk_cnt[1]),
32603
	.cout(),
32604
	.cascout());
32605
// synopsys translate_off
32606
defparam \rclk_cnt[1]~I .clock_enable_mode = "false";
32607
defparam \rclk_cnt[1]~I .lut_mask = "3303";
32608
defparam \rclk_cnt[1]~I .operation_mode = "normal";
32609
defparam \rclk_cnt[1]~I .output_mode = "reg_only";
32610
defparam \rclk_cnt[1]~I .packed_mode = "false";
32611
// synopsys translate_on
32612
 
32613
// atom is at LC4_J22
32614
flex10ke_lcell \Add4|adder|result_node|cs_buffer[2]~I (
32615
// Equation(s):
32616
// \Add4|adder|result_node|cs_buffer [2] = rclk_cnt[2] $ \Add4|adder|result_node|cout [1]
32617
// \Add4|adder|result_node|cout [2] = CARRY(rclk_cnt[2] # \Add4|adder|result_node|cout [1])
32618
 
32619
	.dataa(vcc),
32620
	.datab(rclk_cnt[2]),
32621
	.datac(vcc),
32622
	.datad(vcc),
32623
	.aclr(gnd),
32624
	.aload(gnd),
32625
	.clk(gnd),
32626
	.cin(\Add4|adder|result_node|cout [1]),
32627
	.cascin(vcc),
32628
	.devclrn(devclrn),
32629
	.devpor(devpor),
32630
	.combout(\Add4|adder|result_node|cs_buffer [2]),
32631
	.regout(),
32632
	.cout(\Add4|adder|result_node|cout [2]),
32633
	.cascout());
32634
// synopsys translate_off
32635
defparam \Add4|adder|result_node|cs_buffer[2]~I .cin_used = "true";
32636
defparam \Add4|adder|result_node|cs_buffer[2]~I .clock_enable_mode = "false";
32637
defparam \Add4|adder|result_node|cs_buffer[2]~I .lut_mask = "3cfc";
32638
defparam \Add4|adder|result_node|cs_buffer[2]~I .operation_mode = "arithmetic";
32639
defparam \Add4|adder|result_node|cs_buffer[2]~I .output_mode = "comb_only";
32640
defparam \Add4|adder|result_node|cs_buffer[2]~I .packed_mode = "false";
32641
// synopsys translate_on
32642
 
32643
// atom is at LC6_J32
32644
flex10ke_lcell \rdat~I (
32645
// Equation(s):
32646
// rdat = rdat_edge2 # !rdat_edge1
32647
 
32648
	.dataa(vcc),
32649
	.datab(vcc),
32650
	.datac(rdat_edge2),
32651
	.datad(rdat_edge1),
32652
	.aclr(gnd),
32653
	.aload(gnd),
32654
	.clk(gnd),
32655
	.cin(gnd),
32656
	.cascin(vcc),
32657
	.devclrn(devclrn),
32658
	.devpor(devpor),
32659
	.combout(rdat),
32660
	.regout(),
32661
	.cout(),
32662
	.cascout());
32663
// synopsys translate_off
32664
defparam \rdat~I .clock_enable_mode = "false";
32665
defparam \rdat~I .lut_mask = "f0ff";
32666
defparam \rdat~I .operation_mode = "normal";
32667
defparam \rdat~I .output_mode = "comb_only";
32668
defparam \rdat~I .packed_mode = "false";
32669
// synopsys translate_on
32670
 
32671
// atom is at LC1_J32
32672
flex10ke_lcell \rclk_cnt~191_I (
32673
// Equation(s):
32674
// \rclk_cnt~191  = !rclk_cnt[0] & !rclk_cnt[1] & \Equal3~51  # !rdat
32675
 
32676
	.dataa(rclk_cnt[0]),
32677
	.datab(rclk_cnt[1]),
32678
	.datac(\Equal3~51 ),
32679
	.datad(rdat),
32680
	.aclr(gnd),
32681
	.aload(gnd),
32682
	.clk(gnd),
32683
	.cin(gnd),
32684
	.cascin(vcc),
32685
	.devclrn(devclrn),
32686
	.devpor(devpor),
32687
	.combout(\rclk_cnt~191 ),
32688
	.regout(),
32689
	.cout(),
32690
	.cascout());
32691
// synopsys translate_off
32692
defparam \rclk_cnt~191_I .clock_enable_mode = "false";
32693
defparam \rclk_cnt~191_I .lut_mask = "10ff";
32694
defparam \rclk_cnt~191_I .operation_mode = "normal";
32695
defparam \rclk_cnt~191_I .output_mode = "comb_only";
32696
defparam \rclk_cnt~191_I .packed_mode = "false";
32697
// synopsys translate_on
32698
 
32699
// atom is at LC1_J22
32700
flex10ke_lcell \rclk_cnt[2]~I (
32701
// Equation(s):
32702
// rclk_cnt[2] = DFFEA(\rclk_cnt~191  # !\Add4|adder|result_node|cs_buffer [2], GLOBAL(\fclk~dataout ), , , , , )
32703
 
32704
	.dataa(vcc),
32705
	.datab(vcc),
32706
	.datac(\Add4|adder|result_node|cs_buffer [2]),
32707
	.datad(\rclk_cnt~191 ),
32708
	.aclr(gnd),
32709
	.aload(gnd),
32710
	.clk(fclk),
32711
	.cin(gnd),
32712
	.cascin(vcc),
32713
	.devclrn(devclrn),
32714
	.devpor(devpor),
32715
	.combout(),
32716
	.regout(rclk_cnt[2]),
32717
	.cout(),
32718
	.cascout());
32719
// synopsys translate_off
32720
defparam \rclk_cnt[2]~I .clock_enable_mode = "false";
32721
defparam \rclk_cnt[2]~I .lut_mask = "ff0f";
32722
defparam \rclk_cnt[2]~I .operation_mode = "normal";
32723
defparam \rclk_cnt[2]~I .output_mode = "reg_only";
32724
defparam \rclk_cnt[2]~I .packed_mode = "false";
32725
// synopsys translate_on
32726
 
32727
// atom is at LC5_J22
32728
flex10ke_lcell \Add4|adder|result_node|cs_buffer[3]~I (
32729
// Equation(s):
32730
// \Add4|adder|result_node|cs_buffer [3] = rclk_cnt[3] $ \Add4|adder|result_node|cout [2]
32731
// \Add4|adder|result_node|cout [3] = CARRY(rclk_cnt[3] # \Add4|adder|result_node|cout [2])
32732
 
32733
	.dataa(vcc),
32734
	.datab(rclk_cnt[3]),
32735
	.datac(vcc),
32736
	.datad(vcc),
32737
	.aclr(gnd),
32738
	.aload(gnd),
32739
	.clk(gnd),
32740
	.cin(\Add4|adder|result_node|cout [2]),
32741
	.cascin(vcc),
32742
	.devclrn(devclrn),
32743
	.devpor(devpor),
32744
	.combout(\Add4|adder|result_node|cs_buffer [3]),
32745
	.regout(),
32746
	.cout(\Add4|adder|result_node|cout [3]),
32747
	.cascout());
32748
// synopsys translate_off
32749
defparam \Add4|adder|result_node|cs_buffer[3]~I .cin_used = "true";
32750
defparam \Add4|adder|result_node|cs_buffer[3]~I .clock_enable_mode = "false";
32751
defparam \Add4|adder|result_node|cs_buffer[3]~I .lut_mask = "3cfc";
32752
defparam \Add4|adder|result_node|cs_buffer[3]~I .operation_mode = "arithmetic";
32753
defparam \Add4|adder|result_node|cs_buffer[3]~I .output_mode = "comb_only";
32754
defparam \Add4|adder|result_node|cs_buffer[3]~I .packed_mode = "false";
32755
// synopsys translate_on
32756
 
32757
// atom is at LC2_J31
32758
flex10ke_lcell \rclk_cnt[3]~I (
32759
// Equation(s):
32760
// rclk_cnt[3] = DFFEA(rdat_edge2 & !\Add4|adder|result_node|cs_buffer [3] & !\Equal3~52  # !rdat_edge2 & (rdat_edge1 # !\Add4|adder|result_node|cs_buffer [3] & !\Equal3~52 ), GLOBAL(\fclk~dataout ), , , , , )
32761
 
32762
	.dataa(rdat_edge2),
32763
	.datab(\Add4|adder|result_node|cs_buffer [3]),
32764
	.datac(\Equal3~52 ),
32765
	.datad(rdat_edge1),
32766
	.aclr(gnd),
32767
	.aload(gnd),
32768
	.clk(fclk),
32769
	.cin(gnd),
32770
	.cascin(vcc),
32771
	.devclrn(devclrn),
32772
	.devpor(devpor),
32773
	.combout(),
32774
	.regout(rclk_cnt[3]),
32775
	.cout(),
32776
	.cascout());
32777
// synopsys translate_off
32778
defparam \rclk_cnt[3]~I .clock_enable_mode = "false";
32779
defparam \rclk_cnt[3]~I .lut_mask = "5703";
32780
defparam \rclk_cnt[3]~I .operation_mode = "normal";
32781
defparam \rclk_cnt[3]~I .output_mode = "reg_only";
32782
defparam \rclk_cnt[3]~I .packed_mode = "false";
32783
// synopsys translate_on
32784
 
32785
// atom is at LC6_J22
32786
flex10ke_lcell \Add4|adder|result_node|cs_buffer[4]~I (
32787
// Equation(s):
32788
// \Add4|adder|result_node|cs_buffer [4] = rclk_cnt[4] $ \Add4|adder|result_node|cout [3]
32789
// \Add4|adder|result_node|cout [4] = CARRY(rclk_cnt[4] # \Add4|adder|result_node|cout [3])
32790
 
32791
	.dataa(vcc),
32792
	.datab(rclk_cnt[4]),
32793
	.datac(vcc),
32794
	.datad(vcc),
32795
	.aclr(gnd),
32796
	.aload(gnd),
32797
	.clk(gnd),
32798
	.cin(\Add4|adder|result_node|cout [3]),
32799
	.cascin(vcc),
32800
	.devclrn(devclrn),
32801
	.devpor(devpor),
32802
	.combout(\Add4|adder|result_node|cs_buffer [4]),
32803
	.regout(),
32804
	.cout(\Add4|adder|result_node|cout [4]),
32805
	.cascout());
32806
// synopsys translate_off
32807
defparam \Add4|adder|result_node|cs_buffer[4]~I .cin_used = "true";
32808
defparam \Add4|adder|result_node|cs_buffer[4]~I .clock_enable_mode = "false";
32809
defparam \Add4|adder|result_node|cs_buffer[4]~I .lut_mask = "3cfc";
32810
defparam \Add4|adder|result_node|cs_buffer[4]~I .operation_mode = "arithmetic";
32811
defparam \Add4|adder|result_node|cs_buffer[4]~I .output_mode = "comb_only";
32812
defparam \Add4|adder|result_node|cs_buffer[4]~I .packed_mode = "false";
32813
// synopsys translate_on
32814
 
32815
// atom is at LC5_J32
32816
flex10ke_lcell \rclk_cnt[4]~I (
32817
// Equation(s):
32818
// rclk_cnt[4] = DFFEA(\rclk_cnt~191  # !\Add4|adder|result_node|cs_buffer [4], GLOBAL(\fclk~dataout ), , , , , )
32819
 
32820
	.dataa(vcc),
32821
	.datab(vcc),
32822
	.datac(\Add4|adder|result_node|cs_buffer [4]),
32823
	.datad(\rclk_cnt~191 ),
32824
	.aclr(gnd),
32825
	.aload(gnd),
32826
	.clk(fclk),
32827
	.cin(gnd),
32828
	.cascin(vcc),
32829
	.devclrn(devclrn),
32830
	.devpor(devpor),
32831
	.combout(),
32832
	.regout(rclk_cnt[4]),
32833
	.cout(),
32834
	.cascout());
32835
// synopsys translate_off
32836
defparam \rclk_cnt[4]~I .clock_enable_mode = "false";
32837
defparam \rclk_cnt[4]~I .lut_mask = "ff0f";
32838
defparam \rclk_cnt[4]~I .operation_mode = "normal";
32839
defparam \rclk_cnt[4]~I .output_mode = "reg_only";
32840
defparam \rclk_cnt[4]~I .packed_mode = "false";
32841
// synopsys translate_on
32842
 
32843
// atom is at LC7_J22
32844
flex10ke_lcell \Add4|adder|unreg_res_node[5]~I (
32845
// Equation(s):
32846
// \Add4|adder|unreg_res_node [5] = \Add4|adder|result_node|cout [4] $ rclk_cnt[5]
32847
 
32848
	.dataa(vcc),
32849
	.datab(vcc),
32850
	.datac(vcc),
32851
	.datad(rclk_cnt[5]),
32852
	.aclr(gnd),
32853
	.aload(gnd),
32854
	.clk(gnd),
32855
	.cin(\Add4|adder|result_node|cout [4]),
32856
	.cascin(vcc),
32857
	.devclrn(devclrn),
32858
	.devpor(devpor),
32859
	.combout(\Add4|adder|unreg_res_node [5]),
32860
	.regout(),
32861
	.cout(),
32862
	.cascout());
32863
// synopsys translate_off
32864
defparam \Add4|adder|unreg_res_node[5]~I .cin_used = "true";
32865
defparam \Add4|adder|unreg_res_node[5]~I .clock_enable_mode = "false";
32866
defparam \Add4|adder|unreg_res_node[5]~I .lut_mask = "0ff0";
32867
defparam \Add4|adder|unreg_res_node[5]~I .operation_mode = "normal";
32868
defparam \Add4|adder|unreg_res_node[5]~I .output_mode = "comb_only";
32869
defparam \Add4|adder|unreg_res_node[5]~I .packed_mode = "false";
32870
// synopsys translate_on
32871
 
32872
// atom is at LC1_J31
32873
flex10ke_lcell \rclk_cnt[5]~I (
32874
// Equation(s):
32875
// rclk_cnt[5] = DFFEA(!\Add4|adder|unreg_res_node [5] & (rdat_edge2 # !rdat_edge1), GLOBAL(\fclk~dataout ), , , , , )
32876
 
32877
	.dataa(vcc),
32878
	.datab(\Add4|adder|unreg_res_node [5]),
32879
	.datac(rdat_edge1),
32880
	.datad(rdat_edge2),
32881
	.aclr(gnd),
32882
	.aload(gnd),
32883
	.clk(fclk),
32884
	.cin(gnd),
32885
	.cascin(vcc),
32886
	.devclrn(devclrn),
32887
	.devpor(devpor),
32888
	.combout(),
32889
	.regout(rclk_cnt[5]),
32890
	.cout(),
32891
	.cascout());
32892
// synopsys translate_off
32893
defparam \rclk_cnt[5]~I .clock_enable_mode = "false";
32894
defparam \rclk_cnt[5]~I .lut_mask = "3303";
32895
defparam \rclk_cnt[5]~I .operation_mode = "normal";
32896
defparam \rclk_cnt[5]~I .output_mode = "reg_only";
32897
defparam \rclk_cnt[5]~I .packed_mode = "false";
32898
// synopsys translate_on
32899
 
32900
// atom is at LC8_J22
32901
flex10ke_lcell \Equal3~51_I (
32902
// Equation(s):
32903
// \Equal3~51  = !rclk_cnt[2] & !rclk_cnt[3] & !rclk_cnt[4] & !rclk_cnt[5]
32904
 
32905
	.dataa(rclk_cnt[2]),
32906
	.datab(rclk_cnt[3]),
32907
	.datac(rclk_cnt[4]),
32908
	.datad(rclk_cnt[5]),
32909
	.aclr(gnd),
32910
	.aload(gnd),
32911
	.clk(gnd),
32912
	.cin(gnd),
32913
	.cascin(vcc),
32914
	.devclrn(devclrn),
32915
	.devpor(devpor),
32916
	.combout(\Equal3~51 ),
32917
	.regout(),
32918
	.cout(),
32919
	.cascout());
32920
// synopsys translate_off
32921
defparam \Equal3~51_I .clock_enable_mode = "false";
32922
defparam \Equal3~51_I .lut_mask = "0001";
32923
defparam \Equal3~51_I .operation_mode = "normal";
32924
defparam \Equal3~51_I .output_mode = "comb_only";
32925
defparam \Equal3~51_I .packed_mode = "false";
32926
// synopsys translate_on
32927
 
32928
// atom is at LC4_J31
32929
flex10ke_lcell \Equal3~52_I (
32930
// Equation(s):
32931
// \Equal3~52  = !rclk_cnt[0] & !rclk_cnt[1] & \Equal3~51 
32932
 
32933
	.dataa(vcc),
32934
	.datab(rclk_cnt[0]),
32935
	.datac(rclk_cnt[1]),
32936
	.datad(\Equal3~51 ),
32937
	.aclr(gnd),
32938
	.aload(gnd),
32939
	.clk(gnd),
32940
	.cin(gnd),
32941
	.cascin(vcc),
32942
	.devclrn(devclrn),
32943
	.devpor(devpor),
32944
	.combout(\Equal3~52 ),
32945
	.regout(),
32946
	.cout(),
32947
	.cascout());
32948
// synopsys translate_off
32949
defparam \Equal3~52_I .clock_enable_mode = "false";
32950
defparam \Equal3~52_I .lut_mask = "0300";
32951
defparam \Equal3~52_I .operation_mode = "normal";
32952
defparam \Equal3~52_I .output_mode = "comb_only";
32953
defparam \Equal3~52_I .packed_mode = "false";
32954
// synopsys translate_on
32955
 
32956
// atom is at LC8_J32
32957
flex10ke_lcell \rwidth_ena~I (
32958
// Equation(s):
32959
// rwidth_ena = !rdat_edge2 & rdat_edge1 # !\rwidth_cnt_rtl_6|wysi_counter|q [2]
32960
 
32961
	.dataa(vcc),
32962
	.datab(\rwidth_cnt_rtl_6|wysi_counter|q [2]),
32963
	.datac(rdat_edge2),
32964
	.datad(rdat_edge1),
32965
	.aclr(gnd),
32966
	.aload(gnd),
32967
	.clk(gnd),
32968
	.cin(gnd),
32969
	.cascin(vcc),
32970
	.devclrn(devclrn),
32971
	.devpor(devpor),
32972
	.combout(rwidth_ena),
32973
	.regout(),
32974
	.cout(),
32975
	.cascout());
32976
// synopsys translate_off
32977
defparam \rwidth_ena~I .clock_enable_mode = "false";
32978
defparam \rwidth_ena~I .lut_mask = "3f33";
32979
defparam \rwidth_ena~I .operation_mode = "normal";
32980
defparam \rwidth_ena~I .output_mode = "comb_only";
32981
defparam \rwidth_ena~I .packed_mode = "false";
32982
// synopsys translate_on
32983
 
32984
// atom is at LC2_J32
32985
flex10ke_lcell \rwidth_cnt_rtl_6|wysi_counter|counter_cell[0] (
32986
// Equation(s):
32987
// \rwidth_cnt_rtl_6|wysi_counter|counter_cell[0]~COUT  = CARRY()
32988
 
32989
	.dataa(rwidth_ena),
32990
	.datab(rdat),
32991
	.datac(vcc),
32992
	.datad(vcc),
32993
	.aclr(gnd),
32994
	.aload(gnd),
32995
	.clk(fclk),
32996
	.cin(gnd),
32997
	.cascin(vcc),
32998
	.devclrn(devclrn),
32999
	.devpor(devpor),
33000
	.combout(),
33001
	.regout(\rwidth_cnt_rtl_6|wysi_counter|q [0]),
33002
	.cout(\rwidth_cnt_rtl_6|wysi_counter|counter_cell[0]~COUT ),
33003
	.cascout());
33004
// synopsys translate_off
33005
defparam \rwidth_cnt_rtl_6|wysi_counter|counter_cell[0] .clock_enable_mode = "true";
33006
defparam \rwidth_cnt_rtl_6|wysi_counter|counter_cell[0] .lut_mask = "66aa";
33007
defparam \rwidth_cnt_rtl_6|wysi_counter|counter_cell[0] .operation_mode = "clrb_cntr";
33008
defparam \rwidth_cnt_rtl_6|wysi_counter|counter_cell[0] .output_mode = "none";
33009
defparam \rwidth_cnt_rtl_6|wysi_counter|counter_cell[0] .packed_mode = "false";
33010
// synopsys translate_on
33011
 
33012
// atom is at LC3_J32
33013
flex10ke_lcell \rwidth_cnt_rtl_6|wysi_counter|counter_cell[1] (
33014
// Equation(s):
33015
// \rwidth_cnt_rtl_6|wysi_counter|counter_cell[1]~COUT  = CARRY( & (\rwidth_cnt_rtl_6|wysi_counter|counter_cell[0]~COUT ))
33016
 
33017
	.dataa(rwidth_ena),
33018
	.datab(rdat),
33019
	.datac(vcc),
33020
	.datad(vcc),
33021
	.aclr(gnd),
33022
	.aload(gnd),
33023
	.clk(fclk),
33024
	.cin(\rwidth_cnt_rtl_6|wysi_counter|counter_cell[0]~COUT ),
33025
	.cascin(vcc),
33026
	.devclrn(devclrn),
33027
	.devpor(devpor),
33028
	.combout(),
33029
	.regout(\rwidth_cnt_rtl_6|wysi_counter|q [1]),
33030
	.cout(\rwidth_cnt_rtl_6|wysi_counter|counter_cell[1]~COUT ),
33031
	.cascout());
33032
// synopsys translate_off
33033
defparam \rwidth_cnt_rtl_6|wysi_counter|counter_cell[1] .cin_used = "true";
33034
defparam \rwidth_cnt_rtl_6|wysi_counter|counter_cell[1] .clock_enable_mode = "true";
33035
defparam \rwidth_cnt_rtl_6|wysi_counter|counter_cell[1] .lut_mask = "6ca0";
33036
defparam \rwidth_cnt_rtl_6|wysi_counter|counter_cell[1] .operation_mode = "clrb_cntr";
33037
defparam \rwidth_cnt_rtl_6|wysi_counter|counter_cell[1] .output_mode = "none";
33038
defparam \rwidth_cnt_rtl_6|wysi_counter|counter_cell[1] .packed_mode = "false";
33039
// synopsys translate_on
33040
 
33041
// atom is at LC4_J32
33042
flex10ke_lcell \rwidth_cnt_rtl_6|wysi_counter|counter_cell[2] (
33043
// Equation(s):
33044
// \rwidth_cnt_rtl_6|wysi_counter|q [2] = DFFEA((\rwidth_cnt_rtl_6|wysi_counter|q [2] $ (rwidth_ena & \rwidth_cnt_rtl_6|wysi_counter|counter_cell[1]~COUT )) & rdat, GLOBAL(\fclk~dataout ), , , rwidth_ena, , )
33045
 
33046
	.dataa(rwidth_ena),
33047
	.datab(rdat),
33048
	.datac(vcc),
33049
	.datad(vcc),
33050
	.aclr(gnd),
33051
	.aload(gnd),
33052
	.clk(fclk),
33053
	.cin(\rwidth_cnt_rtl_6|wysi_counter|counter_cell[1]~COUT ),
33054
	.cascin(vcc),
33055
	.devclrn(devclrn),
33056
	.devpor(devpor),
33057
	.combout(),
33058
	.regout(\rwidth_cnt_rtl_6|wysi_counter|q [2]),
33059
	.cout(),
33060
	.cascout());
33061
// synopsys translate_off
33062
defparam \rwidth_cnt_rtl_6|wysi_counter|counter_cell[2] .cin_used = "true";
33063
defparam \rwidth_cnt_rtl_6|wysi_counter|counter_cell[2] .clock_enable_mode = "true";
33064
defparam \rwidth_cnt_rtl_6|wysi_counter|counter_cell[2] .lut_mask = "6c6c";
33065
defparam \rwidth_cnt_rtl_6|wysi_counter|counter_cell[2] .operation_mode = "clrb_cntr";
33066
defparam \rwidth_cnt_rtl_6|wysi_counter|counter_cell[2] .output_mode = "reg_only";
33067
defparam \rwidth_cnt_rtl_6|wysi_counter|counter_cell[2] .packed_mode = "false";
33068
// synopsys translate_on
33069
 
33070
// atom is at LC1_F24
33071
flex10ke_lcell \wd_sync[0]~I (
33072
// Equation(s):
33073
// wd_sync[0] = DFFEA(\vg_wd~dataout , GLOBAL(\fclk~dataout ), , , , , )
33074
 
33075
	.dataa(vcc),
33076
	.datab(vcc),
33077
	.datac(vcc),
33078
	.datad(vg_wd),
33079
	.aclr(gnd),
33080
	.aload(gnd),
33081
	.clk(fclk),
33082
	.cin(gnd),
33083
	.cascin(vcc),
33084
	.devclrn(devclrn),
33085
	.devpor(devpor),
33086
	.combout(),
33087
	.regout(wd_sync[0]),
33088
	.cout(),
33089
	.cascout());
33090
// synopsys translate_off
33091
defparam \wd_sync[0]~I .clock_enable_mode = "false";
33092
defparam \wd_sync[0]~I .lut_mask = "ff00";
33093
defparam \wd_sync[0]~I .operation_mode = "normal";
33094
defparam \wd_sync[0]~I .output_mode = "reg_only";
33095
defparam \wd_sync[0]~I .packed_mode = "false";
33096
// synopsys translate_on
33097
 
33098
// atom is at LC2_F24
33099
flex10ke_lcell \wd_sync[1]~I (
33100
// Equation(s):
33101
// wd_sync[1] = DFFEA(wd_sync[0], GLOBAL(\fclk~dataout ), , , , , )
33102
 
33103
	.dataa(vcc),
33104
	.datab(vcc),
33105
	.datac(vcc),
33106
	.datad(wd_sync[0]),
33107
	.aclr(gnd),
33108
	.aload(gnd),
33109
	.clk(fclk),
33110
	.cin(gnd),
33111
	.cascin(vcc),
33112
	.devclrn(devclrn),
33113
	.devpor(devpor),
33114
	.combout(),
33115
	.regout(wd_sync[1]),
33116
	.cout(),
33117
	.cascout());
33118
// synopsys translate_off
33119
defparam \wd_sync[1]~I .clock_enable_mode = "false";
33120
defparam \wd_sync[1]~I .lut_mask = "ff00";
33121
defparam \wd_sync[1]~I .operation_mode = "normal";
33122
defparam \wd_sync[1]~I .output_mode = "reg_only";
33123
defparam \wd_sync[1]~I .packed_mode = "false";
33124
// synopsys translate_on
33125
 
33126
// atom is at LC6_F26
33127
flex10ke_lcell \wd_sync[2]~I (
33128
// Equation(s):
33129
// wd_sync[2] = DFFEA(wd_sync[1], GLOBAL(\fclk~dataout ), , , , , )
33130
 
33131
	.dataa(vcc),
33132
	.datab(vcc),
33133
	.datac(vcc),
33134
	.datad(wd_sync[1]),
33135
	.aclr(gnd),
33136
	.aload(gnd),
33137
	.clk(fclk),
33138
	.cin(gnd),
33139
	.cascin(vcc),
33140
	.devclrn(devclrn),
33141
	.devpor(devpor),
33142
	.combout(),
33143
	.regout(wd_sync[2]),
33144
	.cout(),
33145
	.cascout());
33146
// synopsys translate_off
33147
defparam \wd_sync[2]~I .clock_enable_mode = "false";
33148
defparam \wd_sync[2]~I .lut_mask = "ff00";
33149
defparam \wd_sync[2]~I .operation_mode = "normal";
33150
defparam \wd_sync[2]~I .output_mode = "reg_only";
33151
defparam \wd_sync[2]~I .packed_mode = "false";
33152
// synopsys translate_on
33153
 
33154
// atom is at LC5_F26
33155
flex10ke_lcell \wd~I (
33156
// Equation(s):
33157
// wd = wd_sync[2] # !wd_sync[1]
33158
 
33159
	.dataa(vcc),
33160
	.datab(vcc),
33161
	.datac(wd_sync[2]),
33162
	.datad(wd_sync[1]),
33163
	.aclr(gnd),
33164
	.aload(gnd),
33165
	.clk(gnd),
33166
	.cin(gnd),
33167
	.cascin(vcc),
33168
	.devclrn(devclrn),
33169
	.devpor(devpor),
33170
	.combout(wd),
33171
	.regout(),
33172
	.cout(),
33173
	.cascout());
33174
// synopsys translate_off
33175
defparam \wd~I .clock_enable_mode = "false";
33176
defparam \wd~I .lut_mask = "f0ff";
33177
defparam \wd~I .operation_mode = "normal";
33178
defparam \wd~I .output_mode = "comb_only";
33179
defparam \wd~I .packed_mode = "false";
33180
// synopsys translate_on
33181
 
33182
// atom is at LC1_F26
33183
flex10ke_lcell \wrwidth_cnt_rtl_7|wysi_counter|counter_cell[0] (
33184
// Equation(s):
33185
// \wrwidth_cnt_rtl_7|wysi_counter|q [0] = DFFEA((wrwidth_ena $ \wrwidth_cnt_rtl_7|wysi_counter|q [0]) & wd, GLOBAL(\fclk~dataout ), , , wrwidth_ena, , )
33186
// \wrwidth_cnt_rtl_7|wysi_counter|counter_cell[0]~COUT  = CARRY(\wrwidth_cnt_rtl_7|wysi_counter|q [0])
33187
 
33188
	.dataa(wrwidth_ena),
33189
	.datab(wd),
33190
	.datac(vcc),
33191
	.datad(vcc),
33192
	.aclr(gnd),
33193
	.aload(gnd),
33194
	.clk(fclk),
33195
	.cin(gnd),
33196
	.cascin(vcc),
33197
	.devclrn(devclrn),
33198
	.devpor(devpor),
33199
	.combout(),
33200
	.regout(\wrwidth_cnt_rtl_7|wysi_counter|q [0]),
33201
	.cout(\wrwidth_cnt_rtl_7|wysi_counter|counter_cell[0]~COUT ),
33202
	.cascout());
33203
// synopsys translate_off
33204
defparam \wrwidth_cnt_rtl_7|wysi_counter|counter_cell[0] .clock_enable_mode = "true";
33205
defparam \wrwidth_cnt_rtl_7|wysi_counter|counter_cell[0] .lut_mask = "66aa";
33206
defparam \wrwidth_cnt_rtl_7|wysi_counter|counter_cell[0] .operation_mode = "clrb_cntr";
33207
defparam \wrwidth_cnt_rtl_7|wysi_counter|counter_cell[0] .output_mode = "reg_only";
33208
defparam \wrwidth_cnt_rtl_7|wysi_counter|counter_cell[0] .packed_mode = "false";
33209
// synopsys translate_on
33210
 
33211
// atom is at LC2_F26
33212
flex10ke_lcell \wrwidth_cnt_rtl_7|wysi_counter|counter_cell[1] (
33213
// Equation(s):
33214
// \wrwidth_cnt_rtl_7|wysi_counter|q [1] = DFFEA((\wrwidth_cnt_rtl_7|wysi_counter|q [1] $ (wrwidth_ena & \wrwidth_cnt_rtl_7|wysi_counter|counter_cell[0]~COUT )) & wd, GLOBAL(\fclk~dataout ), , , wrwidth_ena, , )
33215
// \wrwidth_cnt_rtl_7|wysi_counter|counter_cell[1]~COUT  = CARRY(\wrwidth_cnt_rtl_7|wysi_counter|q [1] & (\wrwidth_cnt_rtl_7|wysi_counter|counter_cell[0]~COUT ))
33216
 
33217
	.dataa(wrwidth_ena),
33218
	.datab(wd),
33219
	.datac(vcc),
33220
	.datad(vcc),
33221
	.aclr(gnd),
33222
	.aload(gnd),
33223
	.clk(fclk),
33224
	.cin(\wrwidth_cnt_rtl_7|wysi_counter|counter_cell[0]~COUT ),
33225
	.cascin(vcc),
33226
	.devclrn(devclrn),
33227
	.devpor(devpor),
33228
	.combout(),
33229
	.regout(\wrwidth_cnt_rtl_7|wysi_counter|q [1]),
33230
	.cout(\wrwidth_cnt_rtl_7|wysi_counter|counter_cell[1]~COUT ),
33231
	.cascout());
33232
// synopsys translate_off
33233
defparam \wrwidth_cnt_rtl_7|wysi_counter|counter_cell[1] .cin_used = "true";
33234
defparam \wrwidth_cnt_rtl_7|wysi_counter|counter_cell[1] .clock_enable_mode = "true";
33235
defparam \wrwidth_cnt_rtl_7|wysi_counter|counter_cell[1] .lut_mask = "6ca0";
33236
defparam \wrwidth_cnt_rtl_7|wysi_counter|counter_cell[1] .operation_mode = "clrb_cntr";
33237
defparam \wrwidth_cnt_rtl_7|wysi_counter|counter_cell[1] .output_mode = "reg_only";
33238
defparam \wrwidth_cnt_rtl_7|wysi_counter|counter_cell[1] .packed_mode = "false";
33239
// synopsys translate_on
33240
 
33241
// atom is at LC3_F26
33242
flex10ke_lcell \wrwidth_cnt_rtl_7|wysi_counter|counter_cell[2] (
33243
// Equation(s):
33244
// \wrwidth_cnt_rtl_7|wysi_counter|q [2] = DFFEA((\wrwidth_cnt_rtl_7|wysi_counter|q [2] $ (wrwidth_ena & \wrwidth_cnt_rtl_7|wysi_counter|counter_cell[1]~COUT )) & wd, GLOBAL(\fclk~dataout ), , , wrwidth_ena, , )
33245
// \wrwidth_cnt_rtl_7|wysi_counter|counter_cell[2]~COUT  = CARRY(\wrwidth_cnt_rtl_7|wysi_counter|q [2] & (\wrwidth_cnt_rtl_7|wysi_counter|counter_cell[1]~COUT ))
33246
 
33247
	.dataa(wrwidth_ena),
33248
	.datab(wd),
33249
	.datac(vcc),
33250
	.datad(vcc),
33251
	.aclr(gnd),
33252
	.aload(gnd),
33253
	.clk(fclk),
33254
	.cin(\wrwidth_cnt_rtl_7|wysi_counter|counter_cell[1]~COUT ),
33255
	.cascin(vcc),
33256
	.devclrn(devclrn),
33257
	.devpor(devpor),
33258
	.combout(),
33259
	.regout(\wrwidth_cnt_rtl_7|wysi_counter|q [2]),
33260
	.cout(\wrwidth_cnt_rtl_7|wysi_counter|counter_cell[2]~COUT ),
33261
	.cascout());
33262
// synopsys translate_off
33263
defparam \wrwidth_cnt_rtl_7|wysi_counter|counter_cell[2] .cin_used = "true";
33264
defparam \wrwidth_cnt_rtl_7|wysi_counter|counter_cell[2] .clock_enable_mode = "true";
33265
defparam \wrwidth_cnt_rtl_7|wysi_counter|counter_cell[2] .lut_mask = "6ca0";
33266
defparam \wrwidth_cnt_rtl_7|wysi_counter|counter_cell[2] .operation_mode = "clrb_cntr";
33267
defparam \wrwidth_cnt_rtl_7|wysi_counter|counter_cell[2] .output_mode = "reg_only";
33268
defparam \wrwidth_cnt_rtl_7|wysi_counter|counter_cell[2] .packed_mode = "false";
33269
// synopsys translate_on
33270
 
33271
// atom is at LC4_F26
33272
flex10ke_lcell \wrwidth_cnt_rtl_7|wysi_counter|counter_cell[3] (
33273
// Equation(s):
33274
// \wrwidth_cnt_rtl_7|wysi_counter|q [3] = DFFEA((\wrwidth_cnt_rtl_7|wysi_counter|q [3] $ (wrwidth_ena & \wrwidth_cnt_rtl_7|wysi_counter|counter_cell[2]~COUT )) & wd, GLOBAL(\fclk~dataout ), , , wrwidth_ena, , )
33275
 
33276
	.dataa(wrwidth_ena),
33277
	.datab(wd),
33278
	.datac(vcc),
33279
	.datad(vcc),
33280
	.aclr(gnd),
33281
	.aload(gnd),
33282
	.clk(fclk),
33283
	.cin(\wrwidth_cnt_rtl_7|wysi_counter|counter_cell[2]~COUT ),
33284
	.cascin(vcc),
33285
	.devclrn(devclrn),
33286
	.devpor(devpor),
33287
	.combout(),
33288
	.regout(\wrwidth_cnt_rtl_7|wysi_counter|q [3]),
33289
	.cout(),
33290
	.cascout());
33291
// synopsys translate_off
33292
defparam \wrwidth_cnt_rtl_7|wysi_counter|counter_cell[3] .cin_used = "true";
33293
defparam \wrwidth_cnt_rtl_7|wysi_counter|counter_cell[3] .clock_enable_mode = "true";
33294
defparam \wrwidth_cnt_rtl_7|wysi_counter|counter_cell[3] .lut_mask = "6c6c";
33295
defparam \wrwidth_cnt_rtl_7|wysi_counter|counter_cell[3] .operation_mode = "clrb_cntr";
33296
defparam \wrwidth_cnt_rtl_7|wysi_counter|counter_cell[3] .output_mode = "reg_only";
33297
defparam \wrwidth_cnt_rtl_7|wysi_counter|counter_cell[3] .packed_mode = "false";
33298
// synopsys translate_on
33299
 
33300
// atom is at LC8_J35
33301
flex10ke_lcell \sl_sync[0]~I (
33302
// Equation(s):
33303
// sl_sync[0] = DFFEA(\vg_sl~dataout , GLOBAL(\fclk~dataout ), , , , , )
33304
 
33305
	.dataa(vcc),
33306
	.datab(vcc),
33307
	.datac(vcc),
33308
	.datad(vg_sl),
33309
	.aclr(gnd),
33310
	.aload(gnd),
33311
	.clk(fclk),
33312
	.cin(gnd),
33313
	.cascin(vcc),
33314
	.devclrn(devclrn),
33315
	.devpor(devpor),
33316
	.combout(),
33317
	.regout(sl_sync[0]),
33318
	.cout(),
33319
	.cascout());
33320
// synopsys translate_off
33321
defparam \sl_sync[0]~I .clock_enable_mode = "false";
33322
defparam \sl_sync[0]~I .lut_mask = "ff00";
33323
defparam \sl_sync[0]~I .operation_mode = "normal";
33324
defparam \sl_sync[0]~I .output_mode = "reg_only";
33325
defparam \sl_sync[0]~I .packed_mode = "false";
33326
// synopsys translate_on
33327
 
33328
// atom is at LC1_J35
33329
flex10ke_lcell \sl_sync[1]~I (
33330
// Equation(s):
33331
// sl_sync[1] = DFFEA(sl_sync[0], GLOBAL(\fclk~dataout ), , , , , )
33332
 
33333
	.dataa(vcc),
33334
	.datab(vcc),
33335
	.datac(vcc),
33336
	.datad(sl_sync[0]),
33337
	.aclr(gnd),
33338
	.aload(gnd),
33339
	.clk(fclk),
33340
	.cin(gnd),
33341
	.cascin(vcc),
33342
	.devclrn(devclrn),
33343
	.devpor(devpor),
33344
	.combout(),
33345
	.regout(sl_sync[1]),
33346
	.cout(),
33347
	.cascout());
33348
// synopsys translate_off
33349
defparam \sl_sync[1]~I .clock_enable_mode = "false";
33350
defparam \sl_sync[1]~I .lut_mask = "ff00";
33351
defparam \sl_sync[1]~I .operation_mode = "normal";
33352
defparam \sl_sync[1]~I .output_mode = "reg_only";
33353
defparam \sl_sync[1]~I .packed_mode = "false";
33354
// synopsys translate_on
33355
 
33356
// atom is at LC4_F29
33357
flex10ke_lcell \tr43_sync[0]~I (
33358
// Equation(s):
33359
// tr43_sync[0] = DFFEA(\vg_tr43~dataout , GLOBAL(\fclk~dataout ), , , , , )
33360
 
33361
	.dataa(vcc),
33362
	.datab(vcc),
33363
	.datac(vcc),
33364
	.datad(vg_tr43),
33365
	.aclr(gnd),
33366
	.aload(gnd),
33367
	.clk(fclk),
33368
	.cin(gnd),
33369
	.cascin(vcc),
33370
	.devclrn(devclrn),
33371
	.devpor(devpor),
33372
	.combout(),
33373
	.regout(tr43_sync[0]),
33374
	.cout(),
33375
	.cascout());
33376
// synopsys translate_off
33377
defparam \tr43_sync[0]~I .clock_enable_mode = "false";
33378
defparam \tr43_sync[0]~I .lut_mask = "ff00";
33379
defparam \tr43_sync[0]~I .operation_mode = "normal";
33380
defparam \tr43_sync[0]~I .output_mode = "reg_only";
33381
defparam \tr43_sync[0]~I .packed_mode = "false";
33382
// synopsys translate_on
33383
 
33384
// atom is at LC5_F29
33385
flex10ke_lcell \tr43_sync[1]~I (
33386
// Equation(s):
33387
// tr43_sync[1] = DFFEA(tr43_sync[0], GLOBAL(\fclk~dataout ), , , , , )
33388
 
33389
	.dataa(vcc),
33390
	.datab(vcc),
33391
	.datac(vcc),
33392
	.datad(tr43_sync[0]),
33393
	.aclr(gnd),
33394
	.aload(gnd),
33395
	.clk(fclk),
33396
	.cin(gnd),
33397
	.cascin(vcc),
33398
	.devclrn(devclrn),
33399
	.devpor(devpor),
33400
	.combout(),
33401
	.regout(tr43_sync[1]),
33402
	.cout(),
33403
	.cascout());
33404
// synopsys translate_off
33405
defparam \tr43_sync[1]~I .clock_enable_mode = "false";
33406
defparam \tr43_sync[1]~I .lut_mask = "ff00";
33407
defparam \tr43_sync[1]~I .operation_mode = "normal";
33408
defparam \tr43_sync[1]~I .output_mode = "reg_only";
33409
defparam \tr43_sync[1]~I .packed_mode = "false";
33410
// synopsys translate_on
33411
 
33412
// atom is at LC1_F29
33413
flex10ke_lcell \sr_sync[0]~I (
33414
// Equation(s):
33415
// sr_sync[0] = DFFEA(\vg_sr~dataout , GLOBAL(\fclk~dataout ), , , , , )
33416
 
33417
	.dataa(vcc),
33418
	.datab(vcc),
33419
	.datac(vcc),
33420
	.datad(vg_sr),
33421
	.aclr(gnd),
33422
	.aload(gnd),
33423
	.clk(fclk),
33424
	.cin(gnd),
33425
	.cascin(vcc),
33426
	.devclrn(devclrn),
33427
	.devpor(devpor),
33428
	.combout(),
33429
	.regout(sr_sync[0]),
33430
	.cout(),
33431
	.cascout());
33432
// synopsys translate_off
33433
defparam \sr_sync[0]~I .clock_enable_mode = "false";
33434
defparam \sr_sync[0]~I .lut_mask = "ff00";
33435
defparam \sr_sync[0]~I .operation_mode = "normal";
33436
defparam \sr_sync[0]~I .output_mode = "reg_only";
33437
defparam \sr_sync[0]~I .packed_mode = "false";
33438
// synopsys translate_on
33439
 
33440
// atom is at LC3_F29
33441
flex10ke_lcell \sr_sync[1]~I (
33442
// Equation(s):
33443
// sr_sync[1] = DFFEA(sr_sync[0], GLOBAL(\fclk~dataout ), , , , , )
33444
 
33445
	.dataa(vcc),
33446
	.datab(vcc),
33447
	.datac(vcc),
33448
	.datad(sr_sync[0]),
33449
	.aclr(gnd),
33450
	.aload(gnd),
33451
	.clk(fclk),
33452
	.cin(gnd),
33453
	.cascin(vcc),
33454
	.devclrn(devclrn),
33455
	.devpor(devpor),
33456
	.combout(),
33457
	.regout(sr_sync[1]),
33458
	.cout(),
33459
	.cascout());
33460
// synopsys translate_off
33461
defparam \sr_sync[1]~I .clock_enable_mode = "false";
33462
defparam \sr_sync[1]~I .lut_mask = "ff00";
33463
defparam \sr_sync[1]~I .operation_mode = "normal";
33464
defparam \sr_sync[1]~I .output_mode = "reg_only";
33465
defparam \sr_sync[1]~I .packed_mode = "false";
33466
// synopsys translate_on
33467
 
33468
// atom is at LC7_F32
33469
flex10ke_lcell \wrdelay_cnt~750_I (
33470
// Equation(s):
33471
// \wrdelay_cnt~750  = sl_sync[1] & (sr_sync[1]) # !sl_sync[1] & (!sr_sync[1] # !tr43_sync[1])
33472
 
33473
	.dataa(vcc),
33474
	.datab(tr43_sync[1]),
33475
	.datac(sl_sync[1]),
33476
	.datad(sr_sync[1]),
33477
	.aclr(gnd),
33478
	.aload(gnd),
33479
	.clk(gnd),
33480
	.cin(gnd),
33481
	.cascin(vcc),
33482
	.devclrn(devclrn),
33483
	.devpor(devpor),
33484
	.combout(\wrdelay_cnt~750 ),
33485
	.regout(),
33486
	.cout(),
33487
	.cascout());
33488
// synopsys translate_off
33489
defparam \wrdelay_cnt~750_I .clock_enable_mode = "false";
33490
defparam \wrdelay_cnt~750_I .lut_mask = "f30f";
33491
defparam \wrdelay_cnt~750_I .operation_mode = "normal";
33492
defparam \wrdelay_cnt~750_I .output_mode = "comb_only";
33493
defparam \wrdelay_cnt~750_I .packed_mode = "false";
33494
// synopsys translate_on
33495
 
33496
// atom is at LC4_F32
33497
flex10ke_lcell \wrdelay_cnt[0]~I (
33498
// Equation(s):
33499
// wrdelay_cnt[0] = DFFEA(wd & !\Equal1~24  & !wrdelay_cnt[0] # !wd & (\wrdelay_cnt~750 ), GLOBAL(\fclk~dataout ), , , , , )
33500
 
33501
	.dataa(\Equal1~24 ),
33502
	.datab(wrdelay_cnt[0]),
33503
	.datac(wd),
33504
	.datad(\wrdelay_cnt~750 ),
33505
	.aclr(gnd),
33506
	.aload(gnd),
33507
	.clk(fclk),
33508
	.cin(gnd),
33509
	.cascin(vcc),
33510
	.devclrn(devclrn),
33511
	.devpor(devpor),
33512
	.combout(),
33513
	.regout(wrdelay_cnt[0]),
33514
	.cout(),
33515
	.cascout());
33516
// synopsys translate_off
33517
defparam \wrdelay_cnt[0]~I .clock_enable_mode = "false";
33518
defparam \wrdelay_cnt[0]~I .lut_mask = "1f10";
33519
defparam \wrdelay_cnt[0]~I .operation_mode = "normal";
33520
defparam \wrdelay_cnt[0]~I .output_mode = "reg_only";
33521
defparam \wrdelay_cnt[0]~I .packed_mode = "false";
33522
// synopsys translate_on
33523
 
33524
// atom is at LC8_F32
33525
flex10ke_lcell \Equal1~25_I (
33526
// Equation(s):
33527
// \Equal1~25  = !wrdelay_cnt[0] & !wrdelay_cnt[1]
33528
 
33529
	.dataa(vcc),
33530
	.datab(vcc),
33531
	.datac(wrdelay_cnt[0]),
33532
	.datad(wrdelay_cnt[1]),
33533
	.aclr(gnd),
33534
	.aload(gnd),
33535
	.clk(gnd),
33536
	.cin(gnd),
33537
	.cascin(vcc),
33538
	.devclrn(devclrn),
33539
	.devpor(devpor),
33540
	.combout(\Equal1~25 ),
33541
	.regout(),
33542
	.cout(),
33543
	.cascout());
33544
// synopsys translate_off
33545
defparam \Equal1~25_I .clock_enable_mode = "false";
33546
defparam \Equal1~25_I .lut_mask = "000f";
33547
defparam \Equal1~25_I .operation_mode = "normal";
33548
defparam \Equal1~25_I .output_mode = "comb_only";
33549
defparam \Equal1~25_I .packed_mode = "false";
33550
// synopsys translate_on
33551
 
33552
// atom is at LC7_F29
33553
flex10ke_lcell \wrdelay_cnt~755_I (
33554
// Equation(s):
33555
// \wrdelay_cnt~768  = wd # tr43_sync[1] & (sr_sync[1] # !sl_sync[1]) # !tr43_sync[1] & (sl_sync[1] # !sr_sync[1])
33556
 
33557
	.dataa(wd),
33558
	.datab(tr43_sync[1]),
33559
	.datac(sl_sync[1]),
33560
	.datad(sr_sync[1]),
33561
	.aclr(gnd),
33562
	.aload(gnd),
33563
	.clk(gnd),
33564
	.cin(gnd),
33565
	.cascin(vcc),
33566
	.devclrn(devclrn),
33567
	.devpor(devpor),
33568
	.combout(\wrdelay_cnt~755 ),
33569
	.regout(),
33570
	.cout(),
33571
	.cascout(\wrdelay_cnt~768 ));
33572
// synopsys translate_off
33573
defparam \wrdelay_cnt~755_I .clock_enable_mode = "false";
33574
defparam \wrdelay_cnt~755_I .lut_mask = "febf";
33575
defparam \wrdelay_cnt~755_I .operation_mode = "normal";
33576
defparam \wrdelay_cnt~755_I .output_mode = "none";
33577
defparam \wrdelay_cnt~755_I .packed_mode = "false";
33578
// synopsys translate_on
33579
 
33580
// atom is at LC8_F29
33581
flex10ke_lcell \wrdelay_cnt~758_I (
33582
// Equation(s):
33583
// \wrdelay_cnt~758  = (!\Equal1~24  & (\Equal1~25  $ wrdelay_cnt[2]) # !wd) & CASCADE(\wrdelay_cnt~768 )
33584
 
33585
	.dataa(\Equal1~24 ),
33586
	.datab(\Equal1~25 ),
33587
	.datac(wrdelay_cnt[2]),
33588
	.datad(wd),
33589
	.aclr(gnd),
33590
	.aload(gnd),
33591
	.clk(gnd),
33592
	.cin(gnd),
33593
	.cascin(\wrdelay_cnt~768 ),
33594
	.devclrn(devclrn),
33595
	.devpor(devpor),
33596
	.combout(\wrdelay_cnt~758 ),
33597
	.regout(),
33598
	.cout(),
33599
	.cascout());
33600
// synopsys translate_off
33601
defparam \wrdelay_cnt~758_I .clock_enable_mode = "false";
33602
defparam \wrdelay_cnt~758_I .lut_mask = "14ff";
33603
defparam \wrdelay_cnt~758_I .operation_mode = "normal";
33604
defparam \wrdelay_cnt~758_I .output_mode = "comb_only";
33605
defparam \wrdelay_cnt~758_I .packed_mode = "false";
33606
// synopsys translate_on
33607
 
33608
// atom is at LC2_F29
33609
flex10ke_lcell \wrdelay_cnt[2]~I (
33610
// Equation(s):
33611
// wrdelay_cnt[2] = DFFEA(\wrdelay_cnt~758 , GLOBAL(\fclk~dataout ), , , , , )
33612
 
33613
	.dataa(vcc),
33614
	.datab(vcc),
33615
	.datac(vcc),
33616
	.datad(\wrdelay_cnt~758 ),
33617
	.aclr(gnd),
33618
	.aload(gnd),
33619
	.clk(fclk),
33620
	.cin(gnd),
33621
	.cascin(vcc),
33622
	.devclrn(devclrn),
33623
	.devpor(devpor),
33624
	.combout(),
33625
	.regout(wrdelay_cnt[2]),
33626
	.cout(),
33627
	.cascout());
33628
// synopsys translate_off
33629
defparam \wrdelay_cnt[2]~I .clock_enable_mode = "false";
33630
defparam \wrdelay_cnt[2]~I .lut_mask = "ff00";
33631
defparam \wrdelay_cnt[2]~I .operation_mode = "normal";
33632
defparam \wrdelay_cnt[2]~I .output_mode = "reg_only";
33633
defparam \wrdelay_cnt[2]~I .packed_mode = "false";
33634
// synopsys translate_on
33635
 
33636
// atom is at LC5_F32
33637
flex10ke_lcell \wrdelay_cnt~745_I (
33638
// Equation(s):
33639
// \wrdelay_cnt~745  = wd & wrdelay_cnt[3] & (wrdelay_cnt[2] # !\Equal1~25 )
33640
 
33641
	.dataa(wd),
33642
	.datab(\Equal1~25 ),
33643
	.datac(wrdelay_cnt[2]),
33644
	.datad(wrdelay_cnt[3]),
33645
	.aclr(gnd),
33646
	.aload(gnd),
33647
	.clk(gnd),
33648
	.cin(gnd),
33649
	.cascin(vcc),
33650
	.devclrn(devclrn),
33651
	.devpor(devpor),
33652
	.combout(\wrdelay_cnt~745 ),
33653
	.regout(),
33654
	.cout(),
33655
	.cascout());
33656
// synopsys translate_off
33657
defparam \wrdelay_cnt~745_I .clock_enable_mode = "false";
33658
defparam \wrdelay_cnt~745_I .lut_mask = "a200";
33659
defparam \wrdelay_cnt~745_I .operation_mode = "normal";
33660
defparam \wrdelay_cnt~745_I .output_mode = "comb_only";
33661
defparam \wrdelay_cnt~745_I .packed_mode = "false";
33662
// synopsys translate_on
33663
 
33664
// atom is at LC1_F32
33665
flex10ke_lcell \wrdelay_cnt[3]~I (
33666
// Equation(s):
33667
// wrdelay_cnt[3] = DFFEA(\wrdelay_cnt~745  # !sl_sync[1] & sr_sync[1] & !wd, GLOBAL(\fclk~dataout ), , , , , )
33668
 
33669
	.dataa(sl_sync[1]),
33670
	.datab(sr_sync[1]),
33671
	.datac(wd),
33672
	.datad(\wrdelay_cnt~745 ),
33673
	.aclr(gnd),
33674
	.aload(gnd),
33675
	.clk(fclk),
33676
	.cin(gnd),
33677
	.cascin(vcc),
33678
	.devclrn(devclrn),
33679
	.devpor(devpor),
33680
	.combout(),
33681
	.regout(wrdelay_cnt[3]),
33682
	.cout(),
33683
	.cascout());
33684
// synopsys translate_off
33685
defparam \wrdelay_cnt[3]~I .clock_enable_mode = "false";
33686
defparam \wrdelay_cnt[3]~I .lut_mask = "ff04";
33687
defparam \wrdelay_cnt[3]~I .operation_mode = "normal";
33688
defparam \wrdelay_cnt[3]~I .output_mode = "reg_only";
33689
defparam \wrdelay_cnt[3]~I .packed_mode = "false";
33690
// synopsys translate_on
33691
 
33692
// atom is at LC6_F32
33693
flex10ke_lcell \wrdelay_cnt~748_I (
33694
// Equation(s):
33695
// \wrdelay_cnt~748  = wrdelay_cnt[0] & !wrdelay_cnt[1] # !wrdelay_cnt[0] & (wrdelay_cnt[1] # !wrdelay_cnt[2] & !wrdelay_cnt[3])
33696
 
33697
	.dataa(wrdelay_cnt[0]),
33698
	.datab(wrdelay_cnt[1]),
33699
	.datac(wrdelay_cnt[2]),
33700
	.datad(wrdelay_cnt[3]),
33701
	.aclr(gnd),
33702
	.aload(gnd),
33703
	.clk(gnd),
33704
	.cin(gnd),
33705
	.cascin(vcc),
33706
	.devclrn(devclrn),
33707
	.devpor(devpor),
33708
	.combout(\wrdelay_cnt~748 ),
33709
	.regout(),
33710
	.cout(),
33711
	.cascout());
33712
// synopsys translate_off
33713
defparam \wrdelay_cnt~748_I .clock_enable_mode = "false";
33714
defparam \wrdelay_cnt~748_I .lut_mask = "6667";
33715
defparam \wrdelay_cnt~748_I .operation_mode = "normal";
33716
defparam \wrdelay_cnt~748_I .output_mode = "comb_only";
33717
defparam \wrdelay_cnt~748_I .packed_mode = "false";
33718
// synopsys translate_on
33719
 
33720
// atom is at LC3_F32
33721
flex10ke_lcell \wrdelay_cnt[1]~I (
33722
// Equation(s):
33723
// wrdelay_cnt[1] = DFFEA(wd & (!\wrdelay_cnt~748 ) # !wd & (sr_sync[1] # !sl_sync[1]), GLOBAL(\fclk~dataout ), , , , , )
33724
 
33725
	.dataa(sr_sync[1]),
33726
	.datab(wd),
33727
	.datac(sl_sync[1]),
33728
	.datad(\wrdelay_cnt~748 ),
33729
	.aclr(gnd),
33730
	.aload(gnd),
33731
	.clk(fclk),
33732
	.cin(gnd),
33733
	.cascin(vcc),
33734
	.devclrn(devclrn),
33735
	.devpor(devpor),
33736
	.combout(),
33737
	.regout(wrdelay_cnt[1]),
33738
	.cout(),
33739
	.cascout());
33740
// synopsys translate_off
33741
defparam \wrdelay_cnt[1]~I .clock_enable_mode = "false";
33742
defparam \wrdelay_cnt[1]~I .lut_mask = "23ef";
33743
defparam \wrdelay_cnt[1]~I .operation_mode = "normal";
33744
defparam \wrdelay_cnt[1]~I .output_mode = "reg_only";
33745
defparam \wrdelay_cnt[1]~I .packed_mode = "false";
33746
// synopsys translate_on
33747
 
33748
// atom is at LC2_F32
33749
flex10ke_lcell \Equal1~24_I (
33750
// Equation(s):
33751
// \Equal1~24  = !wrdelay_cnt[0] & !wrdelay_cnt[1] & !wrdelay_cnt[2] & !wrdelay_cnt[3]
33752
 
33753
	.dataa(wrdelay_cnt[0]),
33754
	.datab(wrdelay_cnt[1]),
33755
	.datac(wrdelay_cnt[2]),
33756
	.datad(wrdelay_cnt[3]),
33757
	.aclr(gnd),
33758
	.aload(gnd),
33759
	.clk(gnd),
33760
	.cin(gnd),
33761
	.cascin(vcc),
33762
	.devclrn(devclrn),
33763
	.devpor(devpor),
33764
	.combout(\Equal1~24 ),
33765
	.regout(),
33766
	.cout(),
33767
	.cascout());
33768
// synopsys translate_off
33769
defparam \Equal1~24_I .clock_enable_mode = "false";
33770
defparam \Equal1~24_I .lut_mask = "0001";
33771
defparam \Equal1~24_I .operation_mode = "normal";
33772
defparam \Equal1~24_I .output_mode = "comb_only";
33773
defparam \Equal1~24_I .packed_mode = "false";
33774
// synopsys translate_on
33775
 
33776
// atom is at LC8_F26
33777
flex10ke_lcell \wrwidth_ena~I (
33778
// Equation(s):
33779
// wrwidth_ena = wd_sync[2] & !\wrwidth_cnt_rtl_7|wysi_counter|q [3] & (\Equal1~24 ) # !wd_sync[2] & (wd_sync[1] # !\wrwidth_cnt_rtl_7|wysi_counter|q [3] & \Equal1~24 )
33780
 
33781
	.dataa(wd_sync[2]),
33782
	.datab(\wrwidth_cnt_rtl_7|wysi_counter|q [3]),
33783
	.datac(wd_sync[1]),
33784
	.datad(\Equal1~24 ),
33785
	.aclr(gnd),
33786
	.aload(gnd),
33787
	.clk(gnd),
33788
	.cin(gnd),
33789
	.cascin(vcc),
33790
	.devclrn(devclrn),
33791
	.devpor(devpor),
33792
	.combout(wrwidth_ena),
33793
	.regout(),
33794
	.cout(),
33795
	.cascout());
33796
// synopsys translate_off
33797
defparam \wrwidth_ena~I .clock_enable_mode = "false";
33798
defparam \wrwidth_ena~I .lut_mask = "7350";
33799
defparam \wrwidth_ena~I .operation_mode = "normal";
33800
defparam \wrwidth_ena~I .output_mode = "comb_only";
33801
defparam \wrwidth_ena~I .packed_mode = "false";
33802
// synopsys translate_on
33803
 
33804
// atom is at LC6_E33
33805
flex10ke_lcell \drq_sync[0]~I (
33806
// Equation(s):
33807
// drq_sync[0] = DFFEA(\vg_drq~dataout , GLOBAL(\clkz_in~dataout ), , , , , )
33808
 
33809
	.dataa(vcc),
33810
	.datab(vcc),
33811
	.datac(vcc),
33812
	.datad(vg_drq),
33813
	.aclr(gnd),
33814
	.aload(gnd),
33815
	.clk(zclk),
33816
	.cin(gnd),
33817
	.cascin(vcc),
33818
	.devclrn(devclrn),
33819
	.devpor(devpor),
33820
	.combout(),
33821
	.regout(drq_sync[0]),
33822
	.cout(),
33823
	.cascout());
33824
// synopsys translate_off
33825
defparam \drq_sync[0]~I .clock_enable_mode = "false";
33826
defparam \drq_sync[0]~I .lut_mask = "ff00";
33827
defparam \drq_sync[0]~I .operation_mode = "normal";
33828
defparam \drq_sync[0]~I .output_mode = "reg_only";
33829
defparam \drq_sync[0]~I .packed_mode = "false";
33830
// synopsys translate_on
33831
 
33832
// atom is at LC8_H24
33833
flex10ke_lcell \intrq_sync[0]~I (
33834
// Equation(s):
33835
// intrq_sync[0] = DFFEA(\vg_irq~dataout , GLOBAL(\clkz_in~dataout ), , , , , )
33836
 
33837
	.dataa(vcc),
33838
	.datab(vcc),
33839
	.datac(vcc),
33840
	.datad(vg_irq),
33841
	.aclr(gnd),
33842
	.aload(gnd),
33843
	.clk(zclk),
33844
	.cin(gnd),
33845
	.cascin(vcc),
33846
	.devclrn(devclrn),
33847
	.devpor(devpor),
33848
	.combout(),
33849
	.regout(intrq_sync[0]),
33850
	.cout(),
33851
	.cascout());
33852
// synopsys translate_off
33853
defparam \intrq_sync[0]~I .clock_enable_mode = "false";
33854
defparam \intrq_sync[0]~I .lut_mask = "ff00";
33855
defparam \intrq_sync[0]~I .operation_mode = "normal";
33856
defparam \intrq_sync[0]~I .output_mode = "reg_only";
33857
defparam \intrq_sync[0]~I .packed_mode = "false";
33858
// synopsys translate_on
33859
 
33860
endmodule
33861
 
33862
module vga_synch (
33863
	vga_hsync,
33864
	scanout_start,
33865
	hsync_start,
33866
	clk,
33867
	devpor,
33868
	devclrn,
33869
	devoe);
33870
output 	vga_hsync;
33871
output 	scanout_start;
33872
input 	hsync_start;
33873
input 	clk;
33874
input 	devpor;
33875
input 	devclrn;
33876
input 	devoe;
33877
 
33878
wire gnd = 1'b0;
33879
wire vcc = 1'b1;
33880
 
33881
wire \Equal0~61 ;
33882
wire \Equal1~50 ;
33883
wire \Equal0~60 ;
33884
wire \hcount~429 ;
33885
wire \Equal1~49 ;
33886
wire \Equal1~47 ;
33887
wire \Equal1~48 ;
33888
wire \hcount[9]~428 ;
33889
wire \Equal2~35 ;
33890
wire [9:0] hcount;
33891
wire [9:0] \Add0|adder|result_node|cs_buffer ;
33892
wire [9:0] \Add0|adder|result_node|cout ;
33893
wire [9:0] \Add0|adder|unreg_res_node ;
33894
 
33895
 
33896
// atom is at LC3_I6
33897
flex10ke_lcell \Equal0~61_I (
33898
// Equation(s):
33899
// \Equal0~61  = !hcount[7] & hcount[8] & hcount[5] & hcount[6]
33900
 
33901
	.dataa(hcount[7]),
33902
	.datab(hcount[8]),
33903
	.datac(hcount[5]),
33904
	.datad(hcount[6]),
33905
	.aclr(gnd),
33906
	.aload(gnd),
33907
	.clk(gnd),
33908
	.cin(gnd),
33909
	.cascin(vcc),
33910
	.devclrn(devclrn),
33911
	.devpor(devpor),
33912
	.combout(\Equal0~61 ),
33913
	.regout(),
33914
	.cout(),
33915
	.cascout());
33916
// synopsys translate_off
33917
defparam \Equal0~61_I .clock_enable_mode = "false";
33918
defparam \Equal0~61_I .lut_mask = "4000";
33919
defparam \Equal0~61_I .operation_mode = "normal";
33920
defparam \Equal0~61_I .output_mode = "comb_only";
33921
defparam \Equal0~61_I .packed_mode = "false";
33922
// synopsys translate_on
33923
 
33924
// atom is at LC3_I12
33925
flex10ke_lcell \vga_hsync~I (
33926
// Equation(s):
33927
// vga_hsync = DFFEA(\Equal1~48  & (\hcount[9]~428  # !\Equal1~49  & vga_hsync) # !\Equal1~48  & (vga_hsync), GLOBAL(\fclk~dataout ), , , , , )
33928
 
33929
	.dataa(\Equal1~49 ),
33930
	.datab(\Equal1~48 ),
33931
	.datac(vga_hsync),
33932
	.datad(\hcount[9]~428 ),
33933
	.aclr(gnd),
33934
	.aload(gnd),
33935
	.clk(clk),
33936
	.cin(gnd),
33937
	.cascin(vcc),
33938
	.devclrn(devclrn),
33939
	.devpor(devpor),
33940
	.combout(),
33941
	.regout(vga_hsync),
33942
	.cout(),
33943
	.cascout());
33944
// synopsys translate_off
33945
defparam \vga_hsync~I .clock_enable_mode = "false";
33946
defparam \vga_hsync~I .lut_mask = "fc70";
33947
defparam \vga_hsync~I .operation_mode = "normal";
33948
defparam \vga_hsync~I .output_mode = "reg_only";
33949
defparam \vga_hsync~I .packed_mode = "false";
33950
// synopsys translate_on
33951
 
33952
// atom is at LC2_I6
33953
flex10ke_lcell \scanout_start~I (
33954
// Equation(s):
33955
// scanout_start = DFFEA(\Equal2~35  & hcount[7] & \Equal0~60 , GLOBAL(\fclk~dataout ), , , , , )
33956
 
33957
	.dataa(vcc),
33958
	.datab(\Equal2~35 ),
33959
	.datac(hcount[7]),
33960
	.datad(\Equal0~60 ),
33961
	.aclr(gnd),
33962
	.aload(gnd),
33963
	.clk(clk),
33964
	.cin(gnd),
33965
	.cascin(vcc),
33966
	.devclrn(devclrn),
33967
	.devpor(devpor),
33968
	.combout(),
33969
	.regout(scanout_start),
33970
	.cout(),
33971
	.cascout());
33972
// synopsys translate_off
33973
defparam \scanout_start~I .clock_enable_mode = "false";
33974
defparam \scanout_start~I .lut_mask = "c000";
33975
defparam \scanout_start~I .operation_mode = "normal";
33976
defparam \scanout_start~I .output_mode = "reg_only";
33977
defparam \scanout_start~I .packed_mode = "false";
33978
// synopsys translate_on
33979
 
33980
// atom is at LC4_I7
33981
flex10ke_lcell \hcount[0]~I (
33982
// Equation(s):
33983
// hcount[0] = DFFEA(!\hcount~429  & !hcount[0], GLOBAL(\fclk~dataout ), , , , , )
33984
// \Add0|adder|result_node|cout [0] = CARRY(hcount[0])
33985
 
33986
	.dataa(\hcount~429 ),
33987
	.datab(hcount[0]),
33988
	.datac(vcc),
33989
	.datad(vcc),
33990
	.aclr(gnd),
33991
	.aload(gnd),
33992
	.clk(clk),
33993
	.cin(gnd),
33994
	.cascin(vcc),
33995
	.devclrn(devclrn),
33996
	.devpor(devpor),
33997
	.combout(),
33998
	.regout(hcount[0]),
33999
	.cout(\Add0|adder|result_node|cout [0]),
34000
	.cascout());
34001
// synopsys translate_off
34002
defparam \hcount[0]~I .clock_enable_mode = "false";
34003
defparam \hcount[0]~I .lut_mask = "11cc";
34004
defparam \hcount[0]~I .operation_mode = "arithmetic";
34005
defparam \hcount[0]~I .output_mode = "reg_only";
34006
defparam \hcount[0]~I .packed_mode = "false";
34007
// synopsys translate_on
34008
 
34009
// atom is at LC5_I7
34010
flex10ke_lcell \Add0|adder|result_node|cs_buffer[1]~I (
34011
// Equation(s):
34012
// \Add0|adder|result_node|cs_buffer [1] = hcount[1] $ \Add0|adder|result_node|cout [0]
34013
// \Add0|adder|result_node|cout [1] = CARRY(hcount[1] & \Add0|adder|result_node|cout [0])
34014
 
34015
	.dataa(vcc),
34016
	.datab(hcount[1]),
34017
	.datac(vcc),
34018
	.datad(vcc),
34019
	.aclr(gnd),
34020
	.aload(gnd),
34021
	.clk(gnd),
34022
	.cin(\Add0|adder|result_node|cout [0]),
34023
	.cascin(vcc),
34024
	.devclrn(devclrn),
34025
	.devpor(devpor),
34026
	.combout(\Add0|adder|result_node|cs_buffer [1]),
34027
	.regout(),
34028
	.cout(\Add0|adder|result_node|cout [1]),
34029
	.cascout());
34030
// synopsys translate_off
34031
defparam \Add0|adder|result_node|cs_buffer[1]~I .cin_used = "true";
34032
defparam \Add0|adder|result_node|cs_buffer[1]~I .clock_enable_mode = "false";
34033
defparam \Add0|adder|result_node|cs_buffer[1]~I .lut_mask = "3cc0";
34034
defparam \Add0|adder|result_node|cs_buffer[1]~I .operation_mode = "arithmetic";
34035
defparam \Add0|adder|result_node|cs_buffer[1]~I .output_mode = "comb_only";
34036
defparam \Add0|adder|result_node|cs_buffer[1]~I .packed_mode = "false";
34037
// synopsys translate_on
34038
 
34039
// atom is at LC2_I12
34040
flex10ke_lcell \hcount[1]~I (
34041
// Equation(s):
34042
// hcount[1] = DFFEA(\Add0|adder|result_node|cs_buffer [1] # hsync_start, GLOBAL(\fclk~dataout ), , , , , )
34043
 
34044
	.dataa(vcc),
34045
	.datab(vcc),
34046
	.datac(\Add0|adder|result_node|cs_buffer [1]),
34047
	.datad(hsync_start),
34048
	.aclr(gnd),
34049
	.aload(gnd),
34050
	.clk(clk),
34051
	.cin(gnd),
34052
	.cascin(vcc),
34053
	.devclrn(devclrn),
34054
	.devpor(devpor),
34055
	.combout(),
34056
	.regout(hcount[1]),
34057
	.cout(),
34058
	.cascout());
34059
// synopsys translate_off
34060
defparam \hcount[1]~I .clock_enable_mode = "false";
34061
defparam \hcount[1]~I .lut_mask = "fff0";
34062
defparam \hcount[1]~I .operation_mode = "normal";
34063
defparam \hcount[1]~I .output_mode = "reg_only";
34064
defparam \hcount[1]~I .packed_mode = "false";
34065
// synopsys translate_on
34066
 
34067
// atom is at LC6_I7
34068
flex10ke_lcell \Add0|adder|result_node|cs_buffer[2]~I (
34069
// Equation(s):
34070
// \Add0|adder|result_node|cs_buffer [2] = hcount[2] $ \Add0|adder|result_node|cout [1]
34071
// \Add0|adder|result_node|cout [2] = CARRY(hcount[2] & \Add0|adder|result_node|cout [1])
34072
 
34073
	.dataa(vcc),
34074
	.datab(hcount[2]),
34075
	.datac(vcc),
34076
	.datad(vcc),
34077
	.aclr(gnd),
34078
	.aload(gnd),
34079
	.clk(gnd),
34080
	.cin(\Add0|adder|result_node|cout [1]),
34081
	.cascin(vcc),
34082
	.devclrn(devclrn),
34083
	.devpor(devpor),
34084
	.combout(\Add0|adder|result_node|cs_buffer [2]),
34085
	.regout(),
34086
	.cout(\Add0|adder|result_node|cout [2]),
34087
	.cascout());
34088
// synopsys translate_off
34089
defparam \Add0|adder|result_node|cs_buffer[2]~I .cin_used = "true";
34090
defparam \Add0|adder|result_node|cs_buffer[2]~I .clock_enable_mode = "false";
34091
defparam \Add0|adder|result_node|cs_buffer[2]~I .lut_mask = "3cc0";
34092
defparam \Add0|adder|result_node|cs_buffer[2]~I .operation_mode = "arithmetic";
34093
defparam \Add0|adder|result_node|cs_buffer[2]~I .output_mode = "comb_only";
34094
defparam \Add0|adder|result_node|cs_buffer[2]~I .packed_mode = "false";
34095
// synopsys translate_on
34096
 
34097
// atom is at LC3_I7
34098
flex10ke_lcell \hcount[2]~I (
34099
// Equation(s):
34100
// hcount[2] = DFFEA(!\hcount~429  & \Add0|adder|result_node|cs_buffer [2], GLOBAL(\fclk~dataout ), , , , , )
34101
 
34102
	.dataa(vcc),
34103
	.datab(vcc),
34104
	.datac(\hcount~429 ),
34105
	.datad(\Add0|adder|result_node|cs_buffer [2]),
34106
	.aclr(gnd),
34107
	.aload(gnd),
34108
	.clk(clk),
34109
	.cin(gnd),
34110
	.cascin(vcc),
34111
	.devclrn(devclrn),
34112
	.devpor(devpor),
34113
	.combout(),
34114
	.regout(hcount[2]),
34115
	.cout(),
34116
	.cascout());
34117
// synopsys translate_off
34118
defparam \hcount[2]~I .clock_enable_mode = "false";
34119
defparam \hcount[2]~I .lut_mask = "0f00";
34120
defparam \hcount[2]~I .operation_mode = "normal";
34121
defparam \hcount[2]~I .output_mode = "reg_only";
34122
defparam \hcount[2]~I .packed_mode = "false";
34123
// synopsys translate_on
34124
 
34125
// atom is at LC7_I7
34126
flex10ke_lcell \Add0|adder|result_node|cs_buffer[3]~I (
34127
// Equation(s):
34128
// \Add0|adder|result_node|cs_buffer [3] = hcount[3] $ \Add0|adder|result_node|cout [2]
34129
// \Add0|adder|result_node|cout [3] = CARRY(hcount[3] & \Add0|adder|result_node|cout [2])
34130
 
34131
	.dataa(vcc),
34132
	.datab(hcount[3]),
34133
	.datac(vcc),
34134
	.datad(vcc),
34135
	.aclr(gnd),
34136
	.aload(gnd),
34137
	.clk(gnd),
34138
	.cin(\Add0|adder|result_node|cout [2]),
34139
	.cascin(vcc),
34140
	.devclrn(devclrn),
34141
	.devpor(devpor),
34142
	.combout(\Add0|adder|result_node|cs_buffer [3]),
34143
	.regout(),
34144
	.cout(\Add0|adder|result_node|cout [3]),
34145
	.cascout());
34146
// synopsys translate_off
34147
defparam \Add0|adder|result_node|cs_buffer[3]~I .cin_used = "true";
34148
defparam \Add0|adder|result_node|cs_buffer[3]~I .clock_enable_mode = "false";
34149
defparam \Add0|adder|result_node|cs_buffer[3]~I .lut_mask = "3cc0";
34150
defparam \Add0|adder|result_node|cs_buffer[3]~I .operation_mode = "arithmetic";
34151
defparam \Add0|adder|result_node|cs_buffer[3]~I .output_mode = "comb_only";
34152
defparam \Add0|adder|result_node|cs_buffer[3]~I .packed_mode = "false";
34153
// synopsys translate_on
34154
 
34155
// atom is at LC8_I7
34156
flex10ke_lcell \Add0|adder|result_node|cs_buffer[4]~I (
34157
// Equation(s):
34158
// \Add0|adder|result_node|cs_buffer [4] = hcount[4] $ \Add0|adder|result_node|cout [3]
34159
// \Add0|adder|result_node|cout [4] = CARRY(hcount[4] & \Add0|adder|result_node|cout [3])
34160
 
34161
	.dataa(vcc),
34162
	.datab(hcount[4]),
34163
	.datac(vcc),
34164
	.datad(vcc),
34165
	.aclr(gnd),
34166
	.aload(gnd),
34167
	.clk(gnd),
34168
	.cin(\Add0|adder|result_node|cout [3]),
34169
	.cascin(vcc),
34170
	.devclrn(devclrn),
34171
	.devpor(devpor),
34172
	.combout(\Add0|adder|result_node|cs_buffer [4]),
34173
	.regout(),
34174
	.cout(\Add0|adder|result_node|cout [4]),
34175
	.cascout());
34176
// synopsys translate_off
34177
defparam \Add0|adder|result_node|cs_buffer[4]~I .cin_used = "true";
34178
defparam \Add0|adder|result_node|cs_buffer[4]~I .clock_enable_mode = "false";
34179
defparam \Add0|adder|result_node|cs_buffer[4]~I .lut_mask = "3cc0";
34180
defparam \Add0|adder|result_node|cs_buffer[4]~I .operation_mode = "arithmetic";
34181
defparam \Add0|adder|result_node|cs_buffer[4]~I .output_mode = "comb_only";
34182
defparam \Add0|adder|result_node|cs_buffer[4]~I .packed_mode = "false";
34183
// synopsys translate_on
34184
 
34185
// atom is at LC2_I7
34186
flex10ke_lcell \hcount[4]~I (
34187
// Equation(s):
34188
// hcount[4] = DFFEA(!\hcount~429  & \Add0|adder|result_node|cs_buffer [4], GLOBAL(\fclk~dataout ), , , , , )
34189
 
34190
	.dataa(vcc),
34191
	.datab(vcc),
34192
	.datac(\hcount~429 ),
34193
	.datad(\Add0|adder|result_node|cs_buffer [4]),
34194
	.aclr(gnd),
34195
	.aload(gnd),
34196
	.clk(clk),
34197
	.cin(gnd),
34198
	.cascin(vcc),
34199
	.devclrn(devclrn),
34200
	.devpor(devpor),
34201
	.combout(),
34202
	.regout(hcount[4]),
34203
	.cout(),
34204
	.cascout());
34205
// synopsys translate_off
34206
defparam \hcount[4]~I .clock_enable_mode = "false";
34207
defparam \hcount[4]~I .lut_mask = "0f00";
34208
defparam \hcount[4]~I .operation_mode = "normal";
34209
defparam \hcount[4]~I .output_mode = "reg_only";
34210
defparam \hcount[4]~I .packed_mode = "false";
34211
// synopsys translate_on
34212
 
34213
// atom is at LC1_I9
34214
flex10ke_lcell \Add0|adder|result_node|cs_buffer[5]~I (
34215
// Equation(s):
34216
// \Add0|adder|result_node|cs_buffer [5] = hcount[5] $ \Add0|adder|result_node|cout [4]
34217
// \Add0|adder|result_node|cout [5] = CARRY(hcount[5] & \Add0|adder|result_node|cout [4])
34218
 
34219
	.dataa(vcc),
34220
	.datab(hcount[5]),
34221
	.datac(vcc),
34222
	.datad(vcc),
34223
	.aclr(gnd),
34224
	.aload(gnd),
34225
	.clk(gnd),
34226
	.cin(\Add0|adder|result_node|cout [4]),
34227
	.cascin(vcc),
34228
	.devclrn(devclrn),
34229
	.devpor(devpor),
34230
	.combout(\Add0|adder|result_node|cs_buffer [5]),
34231
	.regout(),
34232
	.cout(\Add0|adder|result_node|cout [5]),
34233
	.cascout());
34234
// synopsys translate_off
34235
defparam \Add0|adder|result_node|cs_buffer[5]~I .cin_used = "true";
34236
defparam \Add0|adder|result_node|cs_buffer[5]~I .clock_enable_mode = "false";
34237
defparam \Add0|adder|result_node|cs_buffer[5]~I .lut_mask = "3cc0";
34238
defparam \Add0|adder|result_node|cs_buffer[5]~I .operation_mode = "arithmetic";
34239
defparam \Add0|adder|result_node|cs_buffer[5]~I .output_mode = "comb_only";
34240
defparam \Add0|adder|result_node|cs_buffer[5]~I .packed_mode = "false";
34241
// synopsys translate_on
34242
 
34243
// atom is at LC8_I9
34244
flex10ke_lcell \hcount[5]~I (
34245
// Equation(s):
34246
// hcount[5] = DFFEA(!\hcount~429  & \Add0|adder|result_node|cs_buffer [5], GLOBAL(\fclk~dataout ), , , , , )
34247
 
34248
	.dataa(vcc),
34249
	.datab(vcc),
34250
	.datac(\hcount~429 ),
34251
	.datad(\Add0|adder|result_node|cs_buffer [5]),
34252
	.aclr(gnd),
34253
	.aload(gnd),
34254
	.clk(clk),
34255
	.cin(gnd),
34256
	.cascin(vcc),
34257
	.devclrn(devclrn),
34258
	.devpor(devpor),
34259
	.combout(),
34260
	.regout(hcount[5]),
34261
	.cout(),
34262
	.cascout());
34263
// synopsys translate_off
34264
defparam \hcount[5]~I .clock_enable_mode = "false";
34265
defparam \hcount[5]~I .lut_mask = "0f00";
34266
defparam \hcount[5]~I .operation_mode = "normal";
34267
defparam \hcount[5]~I .output_mode = "reg_only";
34268
defparam \hcount[5]~I .packed_mode = "false";
34269
// synopsys translate_on
34270
 
34271
// atom is at LC2_I9
34272
flex10ke_lcell \Add0|adder|result_node|cs_buffer[6]~I (
34273
// Equation(s):
34274
// \Add0|adder|result_node|cs_buffer [6] = hcount[6] $ \Add0|adder|result_node|cout [5]
34275
// \Add0|adder|result_node|cout [6] = CARRY(hcount[6] & \Add0|adder|result_node|cout [5])
34276
 
34277
	.dataa(vcc),
34278
	.datab(hcount[6]),
34279
	.datac(vcc),
34280
	.datad(vcc),
34281
	.aclr(gnd),
34282
	.aload(gnd),
34283
	.clk(gnd),
34284
	.cin(\Add0|adder|result_node|cout [5]),
34285
	.cascin(vcc),
34286
	.devclrn(devclrn),
34287
	.devpor(devpor),
34288
	.combout(\Add0|adder|result_node|cs_buffer [6]),
34289
	.regout(),
34290
	.cout(\Add0|adder|result_node|cout [6]),
34291
	.cascout());
34292
// synopsys translate_off
34293
defparam \Add0|adder|result_node|cs_buffer[6]~I .cin_used = "true";
34294
defparam \Add0|adder|result_node|cs_buffer[6]~I .clock_enable_mode = "false";
34295
defparam \Add0|adder|result_node|cs_buffer[6]~I .lut_mask = "3cc0";
34296
defparam \Add0|adder|result_node|cs_buffer[6]~I .operation_mode = "arithmetic";
34297
defparam \Add0|adder|result_node|cs_buffer[6]~I .output_mode = "comb_only";
34298
defparam \Add0|adder|result_node|cs_buffer[6]~I .packed_mode = "false";
34299
// synopsys translate_on
34300
 
34301
// atom is at LC6_I9
34302
flex10ke_lcell \hcount[6]~I (
34303
// Equation(s):
34304
// hcount[6] = DFFEA(!\hcount~429  & \Add0|adder|result_node|cs_buffer [6], GLOBAL(\fclk~dataout ), , , , , )
34305
 
34306
	.dataa(vcc),
34307
	.datab(vcc),
34308
	.datac(\hcount~429 ),
34309
	.datad(\Add0|adder|result_node|cs_buffer [6]),
34310
	.aclr(gnd),
34311
	.aload(gnd),
34312
	.clk(clk),
34313
	.cin(gnd),
34314
	.cascin(vcc),
34315
	.devclrn(devclrn),
34316
	.devpor(devpor),
34317
	.combout(),
34318
	.regout(hcount[6]),
34319
	.cout(),
34320
	.cascout());
34321
// synopsys translate_off
34322
defparam \hcount[6]~I .clock_enable_mode = "false";
34323
defparam \hcount[6]~I .lut_mask = "0f00";
34324
defparam \hcount[6]~I .operation_mode = "normal";
34325
defparam \hcount[6]~I .output_mode = "reg_only";
34326
defparam \hcount[6]~I .packed_mode = "false";
34327
// synopsys translate_on
34328
 
34329
// atom is at LC3_I9
34330
flex10ke_lcell \Add0|adder|result_node|cs_buffer[7]~I (
34331
// Equation(s):
34332
// \Add0|adder|result_node|cs_buffer [7] = hcount[7] $ \Add0|adder|result_node|cout [6]
34333
// \Add0|adder|result_node|cout [7] = CARRY(hcount[7] & \Add0|adder|result_node|cout [6])
34334
 
34335
	.dataa(vcc),
34336
	.datab(hcount[7]),
34337
	.datac(vcc),
34338
	.datad(vcc),
34339
	.aclr(gnd),
34340
	.aload(gnd),
34341
	.clk(gnd),
34342
	.cin(\Add0|adder|result_node|cout [6]),
34343
	.cascin(vcc),
34344
	.devclrn(devclrn),
34345
	.devpor(devpor),
34346
	.combout(\Add0|adder|result_node|cs_buffer [7]),
34347
	.regout(),
34348
	.cout(\Add0|adder|result_node|cout [7]),
34349
	.cascout());
34350
// synopsys translate_off
34351
defparam \Add0|adder|result_node|cs_buffer[7]~I .cin_used = "true";
34352
defparam \Add0|adder|result_node|cs_buffer[7]~I .clock_enable_mode = "false";
34353
defparam \Add0|adder|result_node|cs_buffer[7]~I .lut_mask = "3cc0";
34354
defparam \Add0|adder|result_node|cs_buffer[7]~I .operation_mode = "arithmetic";
34355
defparam \Add0|adder|result_node|cs_buffer[7]~I .output_mode = "comb_only";
34356
defparam \Add0|adder|result_node|cs_buffer[7]~I .packed_mode = "false";
34357
// synopsys translate_on
34358
 
34359
// atom is at LC6_I6
34360
flex10ke_lcell \hcount[7]~I (
34361
// Equation(s):
34362
// hcount[7] = DFFEA(!\hcount~429  & \Add0|adder|result_node|cs_buffer [7], GLOBAL(\fclk~dataout ), , , , , )
34363
 
34364
	.dataa(vcc),
34365
	.datab(vcc),
34366
	.datac(\hcount~429 ),
34367
	.datad(\Add0|adder|result_node|cs_buffer [7]),
34368
	.aclr(gnd),
34369
	.aload(gnd),
34370
	.clk(clk),
34371
	.cin(gnd),
34372
	.cascin(vcc),
34373
	.devclrn(devclrn),
34374
	.devpor(devpor),
34375
	.combout(),
34376
	.regout(hcount[7]),
34377
	.cout(),
34378
	.cascout());
34379
// synopsys translate_off
34380
defparam \hcount[7]~I .clock_enable_mode = "false";
34381
defparam \hcount[7]~I .lut_mask = "0f00";
34382
defparam \hcount[7]~I .operation_mode = "normal";
34383
defparam \hcount[7]~I .output_mode = "reg_only";
34384
defparam \hcount[7]~I .packed_mode = "false";
34385
// synopsys translate_on
34386
 
34387
// atom is at LC4_I9
34388
flex10ke_lcell \Add0|adder|result_node|cs_buffer[8]~I (
34389
// Equation(s):
34390
// \Add0|adder|result_node|cs_buffer [8] = hcount[8] $ \Add0|adder|result_node|cout [7]
34391
// \Add0|adder|result_node|cout [8] = CARRY(hcount[8] & \Add0|adder|result_node|cout [7])
34392
 
34393
	.dataa(vcc),
34394
	.datab(hcount[8]),
34395
	.datac(vcc),
34396
	.datad(vcc),
34397
	.aclr(gnd),
34398
	.aload(gnd),
34399
	.clk(gnd),
34400
	.cin(\Add0|adder|result_node|cout [7]),
34401
	.cascin(vcc),
34402
	.devclrn(devclrn),
34403
	.devpor(devpor),
34404
	.combout(\Add0|adder|result_node|cs_buffer [8]),
34405
	.regout(),
34406
	.cout(\Add0|adder|result_node|cout [8]),
34407
	.cascout());
34408
// synopsys translate_off
34409
defparam \Add0|adder|result_node|cs_buffer[8]~I .cin_used = "true";
34410
defparam \Add0|adder|result_node|cs_buffer[8]~I .clock_enable_mode = "false";
34411
defparam \Add0|adder|result_node|cs_buffer[8]~I .lut_mask = "3cc0";
34412
defparam \Add0|adder|result_node|cs_buffer[8]~I .operation_mode = "arithmetic";
34413
defparam \Add0|adder|result_node|cs_buffer[8]~I .output_mode = "comb_only";
34414
defparam \Add0|adder|result_node|cs_buffer[8]~I .packed_mode = "false";
34415
// synopsys translate_on
34416
 
34417
// atom is at LC5_I6
34418
flex10ke_lcell \hcount[8]~I (
34419
// Equation(s):
34420
// hcount[8] = DFFEA(!\hcount~429  & \Add0|adder|result_node|cs_buffer [8], GLOBAL(\fclk~dataout ), , , , , )
34421
 
34422
	.dataa(vcc),
34423
	.datab(vcc),
34424
	.datac(\hcount~429 ),
34425
	.datad(\Add0|adder|result_node|cs_buffer [8]),
34426
	.aclr(gnd),
34427
	.aload(gnd),
34428
	.clk(clk),
34429
	.cin(gnd),
34430
	.cascin(vcc),
34431
	.devclrn(devclrn),
34432
	.devpor(devpor),
34433
	.combout(),
34434
	.regout(hcount[8]),
34435
	.cout(),
34436
	.cascout());
34437
// synopsys translate_off
34438
defparam \hcount[8]~I .clock_enable_mode = "false";
34439
defparam \hcount[8]~I .lut_mask = "0f00";
34440
defparam \hcount[8]~I .operation_mode = "normal";
34441
defparam \hcount[8]~I .output_mode = "reg_only";
34442
defparam \hcount[8]~I .packed_mode = "false";
34443
// synopsys translate_on
34444
 
34445
// atom is at LC5_I9
34446
flex10ke_lcell \Add0|adder|unreg_res_node[9]~I (
34447
// Equation(s):
34448
// \Add0|adder|unreg_res_node [9] = \Add0|adder|result_node|cout [8] $ hcount[9]
34449
 
34450
	.dataa(vcc),
34451
	.datab(vcc),
34452
	.datac(vcc),
34453
	.datad(hcount[9]),
34454
	.aclr(gnd),
34455
	.aload(gnd),
34456
	.clk(gnd),
34457
	.cin(\Add0|adder|result_node|cout [8]),
34458
	.cascin(vcc),
34459
	.devclrn(devclrn),
34460
	.devpor(devpor),
34461
	.combout(\Add0|adder|unreg_res_node [9]),
34462
	.regout(),
34463
	.cout(),
34464
	.cascout());
34465
// synopsys translate_off
34466
defparam \Add0|adder|unreg_res_node[9]~I .cin_used = "true";
34467
defparam \Add0|adder|unreg_res_node[9]~I .clock_enable_mode = "false";
34468
defparam \Add0|adder|unreg_res_node[9]~I .lut_mask = "0ff0";
34469
defparam \Add0|adder|unreg_res_node[9]~I .operation_mode = "normal";
34470
defparam \Add0|adder|unreg_res_node[9]~I .output_mode = "comb_only";
34471
defparam \Add0|adder|unreg_res_node[9]~I .packed_mode = "false";
34472
// synopsys translate_on
34473
 
34474
// atom is at LC7_I9
34475
flex10ke_lcell \hcount[9]~I (
34476
// Equation(s):
34477
// hcount[9] = DFFEA(!\hcount~429  & \Add0|adder|unreg_res_node [9], GLOBAL(\fclk~dataout ), , , , , )
34478
 
34479
	.dataa(vcc),
34480
	.datab(vcc),
34481
	.datac(\hcount~429 ),
34482
	.datad(\Add0|adder|unreg_res_node [9]),
34483
	.aclr(gnd),
34484
	.aload(gnd),
34485
	.clk(clk),
34486
	.cin(gnd),
34487
	.cascin(vcc),
34488
	.devclrn(devclrn),
34489
	.devpor(devpor),
34490
	.combout(),
34491
	.regout(hcount[9]),
34492
	.cout(),
34493
	.cascout());
34494
// synopsys translate_off
34495
defparam \hcount[9]~I .clock_enable_mode = "false";
34496
defparam \hcount[9]~I .lut_mask = "0f00";
34497
defparam \hcount[9]~I .operation_mode = "normal";
34498
defparam \hcount[9]~I .output_mode = "reg_only";
34499
defparam \hcount[9]~I .packed_mode = "false";
34500
// synopsys translate_on
34501
 
34502
// atom is at LC8_I12
34503
flex10ke_lcell \Equal1~50_I (
34504
// Equation(s):
34505
// \Equal1~50  = hcount[1] & hcount[3]
34506
 
34507
	.dataa(vcc),
34508
	.datab(vcc),
34509
	.datac(hcount[1]),
34510
	.datad(hcount[3]),
34511
	.aclr(gnd),
34512
	.aload(gnd),
34513
	.clk(gnd),
34514
	.cin(gnd),
34515
	.cascin(vcc),
34516
	.devclrn(devclrn),
34517
	.devpor(devpor),
34518
	.combout(\Equal1~50 ),
34519
	.regout(),
34520
	.cout(),
34521
	.cascout());
34522
// synopsys translate_off
34523
defparam \Equal1~50_I .clock_enable_mode = "false";
34524
defparam \Equal1~50_I .lut_mask = "f000";
34525
defparam \Equal1~50_I .operation_mode = "normal";
34526
defparam \Equal1~50_I .output_mode = "comb_only";
34527
defparam \Equal1~50_I .packed_mode = "false";
34528
// synopsys translate_on
34529
 
34530
// atom is at LC1_I12
34531
flex10ke_lcell \Equal0~60_I (
34532
// Equation(s):
34533
// \Equal0~60  = hcount[2] & hcount[4] & \Equal1~50  & hcount[0]
34534
 
34535
	.dataa(hcount[2]),
34536
	.datab(hcount[4]),
34537
	.datac(\Equal1~50 ),
34538
	.datad(hcount[0]),
34539
	.aclr(gnd),
34540
	.aload(gnd),
34541
	.clk(gnd),
34542
	.cin(gnd),
34543
	.cascin(vcc),
34544
	.devclrn(devclrn),
34545
	.devpor(devpor),
34546
	.combout(\Equal0~60 ),
34547
	.regout(),
34548
	.cout(),
34549
	.cascout());
34550
// synopsys translate_off
34551
defparam \Equal0~60_I .clock_enable_mode = "false";
34552
defparam \Equal0~60_I .lut_mask = "8000";
34553
defparam \Equal0~60_I .operation_mode = "normal";
34554
defparam \Equal0~60_I .output_mode = "comb_only";
34555
defparam \Equal0~60_I .packed_mode = "false";
34556
// synopsys translate_on
34557
 
34558
// atom is at LC1_I6
34559
flex10ke_lcell \hcount~429_I (
34560
// Equation(s):
34561
// \hcount~429  = hsync_start # \Equal0~61  & hcount[9] & \Equal0~60 
34562
 
34563
	.dataa(\Equal0~61 ),
34564
	.datab(hcount[9]),
34565
	.datac(\Equal0~60 ),
34566
	.datad(hsync_start),
34567
	.aclr(gnd),
34568
	.aload(gnd),
34569
	.clk(gnd),
34570
	.cin(gnd),
34571
	.cascin(vcc),
34572
	.devclrn(devclrn),
34573
	.devpor(devpor),
34574
	.combout(\hcount~429 ),
34575
	.regout(),
34576
	.cout(),
34577
	.cascout());
34578
// synopsys translate_off
34579
defparam \hcount~429_I .clock_enable_mode = "false";
34580
defparam \hcount~429_I .lut_mask = "ff80";
34581
defparam \hcount~429_I .operation_mode = "normal";
34582
defparam \hcount~429_I .output_mode = "comb_only";
34583
defparam \hcount~429_I .packed_mode = "false";
34584
// synopsys translate_on
34585
 
34586
// atom is at LC1_I7
34587
flex10ke_lcell \hcount[3]~I (
34588
// Equation(s):
34589
// hcount[3] = DFFEA(!\hcount~429  & \Add0|adder|result_node|cs_buffer [3], GLOBAL(\fclk~dataout ), , , , , )
34590
 
34591
	.dataa(vcc),
34592
	.datab(vcc),
34593
	.datac(\hcount~429 ),
34594
	.datad(\Add0|adder|result_node|cs_buffer [3]),
34595
	.aclr(gnd),
34596
	.aload(gnd),
34597
	.clk(clk),
34598
	.cin(gnd),
34599
	.cascin(vcc),
34600
	.devclrn(devclrn),
34601
	.devpor(devpor),
34602
	.combout(),
34603
	.regout(hcount[3]),
34604
	.cout(),
34605
	.cascout());
34606
// synopsys translate_off
34607
defparam \hcount[3]~I .clock_enable_mode = "false";
34608
defparam \hcount[3]~I .lut_mask = "0f00";
34609
defparam \hcount[3]~I .operation_mode = "normal";
34610
defparam \hcount[3]~I .output_mode = "reg_only";
34611
defparam \hcount[3]~I .packed_mode = "false";
34612
// synopsys translate_on
34613
 
34614
// atom is at LC7_I12
34615
flex10ke_lcell \Equal1~49_I (
34616
// Equation(s):
34617
// \Equal1~49  = hcount[1] & hcount[3] & hcount[5] & hcount[6]
34618
 
34619
	.dataa(hcount[1]),
34620
	.datab(hcount[3]),
34621
	.datac(hcount[5]),
34622
	.datad(hcount[6]),
34623
	.aclr(gnd),
34624
	.aload(gnd),
34625
	.clk(gnd),
34626
	.cin(gnd),
34627
	.cascin(vcc),
34628
	.devclrn(devclrn),
34629
	.devpor(devpor),
34630
	.combout(\Equal1~49 ),
34631
	.regout(),
34632
	.cout(),
34633
	.cascout());
34634
// synopsys translate_off
34635
defparam \Equal1~49_I .clock_enable_mode = "false";
34636
defparam \Equal1~49_I .lut_mask = "8000";
34637
defparam \Equal1~49_I .operation_mode = "normal";
34638
defparam \Equal1~49_I .output_mode = "comb_only";
34639
defparam \Equal1~49_I .packed_mode = "false";
34640
// synopsys translate_on
34641
 
34642
// atom is at LC8_I6
34643
flex10ke_lcell \Equal1~47_I (
34644
// Equation(s):
34645
// \Equal1~47  = !hcount[4] & !hcount[7] & !hcount[9] & !hcount[8]
34646
 
34647
	.dataa(hcount[4]),
34648
	.datab(hcount[7]),
34649
	.datac(hcount[9]),
34650
	.datad(hcount[8]),
34651
	.aclr(gnd),
34652
	.aload(gnd),
34653
	.clk(gnd),
34654
	.cin(gnd),
34655
	.cascin(vcc),
34656
	.devclrn(devclrn),
34657
	.devpor(devpor),
34658
	.combout(\Equal1~47 ),
34659
	.regout(),
34660
	.cout(),
34661
	.cascout());
34662
// synopsys translate_off
34663
defparam \Equal1~47_I .clock_enable_mode = "false";
34664
defparam \Equal1~47_I .lut_mask = "0001";
34665
defparam \Equal1~47_I .operation_mode = "normal";
34666
defparam \Equal1~47_I .output_mode = "comb_only";
34667
defparam \Equal1~47_I .packed_mode = "false";
34668
// synopsys translate_on
34669
 
34670
// atom is at LC5_I12
34671
flex10ke_lcell \Equal1~48_I (
34672
// Equation(s):
34673
// \Equal1~48  = !hcount[0] & !hcount[2] & \Equal1~47 
34674
 
34675
	.dataa(vcc),
34676
	.datab(hcount[0]),
34677
	.datac(hcount[2]),
34678
	.datad(\Equal1~47 ),
34679
	.aclr(gnd),
34680
	.aload(gnd),
34681
	.clk(gnd),
34682
	.cin(gnd),
34683
	.cascin(vcc),
34684
	.devclrn(devclrn),
34685
	.devpor(devpor),
34686
	.combout(\Equal1~48 ),
34687
	.regout(),
34688
	.cout(),
34689
	.cascout());
34690
// synopsys translate_off
34691
defparam \Equal1~48_I .clock_enable_mode = "false";
34692
defparam \Equal1~48_I .lut_mask = "0300";
34693
defparam \Equal1~48_I .operation_mode = "normal";
34694
defparam \Equal1~48_I .output_mode = "comb_only";
34695
defparam \Equal1~48_I .packed_mode = "false";
34696
// synopsys translate_on
34697
 
34698
// atom is at LC4_I12
34699
flex10ke_lcell \hcount[9]~428_I (
34700
// Equation(s):
34701
// \hcount[9]~428  = !hcount[1] & !hcount[3] & !hcount[5] & !hcount[6]
34702
 
34703
	.dataa(hcount[1]),
34704
	.datab(hcount[3]),
34705
	.datac(hcount[5]),
34706
	.datad(hcount[6]),
34707
	.aclr(gnd),
34708
	.aload(gnd),
34709
	.clk(gnd),
34710
	.cin(gnd),
34711
	.cascin(vcc),
34712
	.devclrn(devclrn),
34713
	.devpor(devpor),
34714
	.combout(\hcount[9]~428 ),
34715
	.regout(),
34716
	.cout(),
34717
	.cascout());
34718
// synopsys translate_off
34719
defparam \hcount[9]~428_I .clock_enable_mode = "false";
34720
defparam \hcount[9]~428_I .lut_mask = "0001";
34721
defparam \hcount[9]~428_I .operation_mode = "normal";
34722
defparam \hcount[9]~428_I .output_mode = "comb_only";
34723
defparam \hcount[9]~428_I .packed_mode = "false";
34724
// synopsys translate_on
34725
 
34726
// atom is at LC4_I6
34727
flex10ke_lcell \Equal2~35_I (
34728
// Equation(s):
34729
// \Equal2~35  = !hcount[5] & !hcount[6] & !hcount[9] & !hcount[8]
34730
 
34731
	.dataa(hcount[5]),
34732
	.datab(hcount[6]),
34733
	.datac(hcount[9]),
34734
	.datad(hcount[8]),
34735
	.aclr(gnd),
34736
	.aload(gnd),
34737
	.clk(gnd),
34738
	.cin(gnd),
34739
	.cascin(vcc),
34740
	.devclrn(devclrn),
34741
	.devpor(devpor),
34742
	.combout(\Equal2~35 ),
34743
	.regout(),
34744
	.cout(),
34745
	.cascout());
34746
// synopsys translate_off
34747
defparam \Equal2~35_I .clock_enable_mode = "false";
34748
defparam \Equal2~35_I .lut_mask = "0001";
34749
defparam \Equal2~35_I .operation_mode = "normal";
34750
defparam \Equal2~35_I .output_mode = "comb_only";
34751
defparam \Equal2~35_I .packed_mode = "false";
34752
// synopsys translate_on
34753
 
34754
endmodule
34755
 
34756
module videoout (
34757
	vred_0,
34758
	vred_1,
34759
	vgrn_0,
34760
	vgrn_1,
34761
	vblu_0,
34762
	vblu_1,
34763
	vhsync,
34764
	vvsync,
34765
	vcsync,
34766
	vpix,
34767
	hpix,
34768
	vblank,
34769
	hblank,
34770
	Mux10,
34771
	zxcolor_3,
34772
	peff7_int_0,
34773
	Mux15,
34774
	zxcolor,
34775
	cfg0_reg_out_0,
34776
	zxcolor_1,
34777
	border_1,
34778
	Mux14,
34779
	zxcolor1,
34780
	border_2,
34781
	zxcolor_2,
34782
	Mux16,
34783
	zxcolor2,
34784
	border_0,
34785
	zxcolor_0,
34786
	vga_hsync,
34787
	hsync,
34788
	vsync,
34789
	line_start,
34790
	scanout_start,
34791
	hsync_start,
34792
	fclk,
34793
	devpor,
34794
	devclrn,
34795
	devoe);
34796
output 	vred_0;
34797
output 	vred_1;
34798
output 	vgrn_0;
34799
output 	vgrn_1;
34800
output 	vblu_0;
34801
output 	vblu_1;
34802
output 	vhsync;
34803
output 	vvsync;
34804
output 	vcsync;
34805
input 	vpix;
34806
input 	hpix;
34807
input 	vblank;
34808
input 	hblank;
34809
input 	Mux10;
34810
input 	zxcolor_3;
34811
input 	peff7_int_0;
34812
input 	Mux15;
34813
input 	zxcolor;
34814
input 	cfg0_reg_out_0;
34815
input 	zxcolor_1;
34816
input 	border_1;
34817
input 	Mux14;
34818
input 	zxcolor1;
34819
input 	border_2;
34820
input 	zxcolor_2;
34821
input 	Mux16;
34822
input 	zxcolor2;
34823
input 	border_0;
34824
input 	zxcolor_0;
34825
input 	vga_hsync;
34826
input 	hsync;
34827
input 	vsync;
34828
input 	line_start;
34829
input 	scanout_start;
34830
input 	hsync_start;
34831
input 	fclk;
34832
input 	devpor;
34833
input 	devclrn;
34834
input 	devoe;
34835
 
34836
wire gnd = 1'b0;
34837
wire vcc = 1'b1;
34838
 
34839
wire \color[0]~362 ;
34840
wire \color[0]~363 ;
34841
wire \color[4]~364 ;
34842
wire \color[5]~365 ;
34843
wire \color[5]~366 ;
34844
wire \color[2]~367 ;
34845
wire \color[3]~368 ;
34846
wire \color[0]~369 ;
34847
wire \color[1]~370 ;
34848
wire [5:0] \vga_double|pix_out ;
34849
 
34850
 
34851
vga_double vga_double_1(
34852
	.pix_out_4(\vga_double|pix_out [4]),
34853
	.color_4(\color[4]~364 ),
34854
	.pix_out_5(\vga_double|pix_out [5]),
34855
	.color_5(\color[5]~366 ),
34856
	.pix_out_2(\vga_double|pix_out [2]),
34857
	.color_2(\color[2]~367 ),
34858
	.pix_out_3(\vga_double|pix_out [3]),
34859
	.color_3(\color[3]~368 ),
34860
	.pix_out_0(\vga_double|pix_out [0]),
34861
	.color_0(\color[0]~369 ),
34862
	.pix_out_1(\vga_double|pix_out [1]),
34863
	.color_1(\color[1]~370 ),
34864
	.line_start(line_start),
34865
	.scanout_start(scanout_start),
34866
	.hsync_start(hsync_start),
34867
	.fclk(fclk),
34868
	.devpor(devpor),
34869
	.devclrn(devclrn),
34870
	.devoe(devoe));
34871
 
34872
// atom is at LC8_F2
34873
flex10ke_lcell \vred[0]~COMB_I (
34874
// Equation(s):
34875
// vred_0 = cfg0_reg_out_0 & (pix_out_4) # !cfg0_reg_out_0 & \color[4]~364 
34876
 
34877
	.dataa(vcc),
34878
	.datab(cfg0_reg_out_0),
34879
	.datac(\color[4]~364 ),
34880
	.datad(\vga_double|pix_out [4]),
34881
	.aclr(gnd),
34882
	.aload(gnd),
34883
	.clk(gnd),
34884
	.cin(gnd),
34885
	.cascin(vcc),
34886
	.devclrn(devclrn),
34887
	.devpor(devpor),
34888
	.combout(vred_0),
34889
	.regout(),
34890
	.cout(),
34891
	.cascout());
34892
// synopsys translate_off
34893
defparam \vred[0]~COMB_I .clock_enable_mode = "false";
34894
defparam \vred[0]~COMB_I .lut_mask = "fc30";
34895
defparam \vred[0]~COMB_I .operation_mode = "normal";
34896
defparam \vred[0]~COMB_I .output_mode = "comb_only";
34897
defparam \vred[0]~COMB_I .packed_mode = "false";
34898
// synopsys translate_on
34899
 
34900
// atom is at LC6_F2
34901
flex10ke_lcell \vred[1]~COMB_I (
34902
// Equation(s):
34903
// vred_1 = cfg0_reg_out_0 & (pix_out_5) # !cfg0_reg_out_0 & \color[5]~366 
34904
 
34905
	.dataa(vcc),
34906
	.datab(cfg0_reg_out_0),
34907
	.datac(\color[5]~366 ),
34908
	.datad(\vga_double|pix_out [5]),
34909
	.aclr(gnd),
34910
	.aload(gnd),
34911
	.clk(gnd),
34912
	.cin(gnd),
34913
	.cascin(vcc),
34914
	.devclrn(devclrn),
34915
	.devpor(devpor),
34916
	.combout(vred_1),
34917
	.regout(),
34918
	.cout(),
34919
	.cascout());
34920
// synopsys translate_off
34921
defparam \vred[1]~COMB_I .clock_enable_mode = "false";
34922
defparam \vred[1]~COMB_I .lut_mask = "fc30";
34923
defparam \vred[1]~COMB_I .operation_mode = "normal";
34924
defparam \vred[1]~COMB_I .output_mode = "comb_only";
34925
defparam \vred[1]~COMB_I .packed_mode = "false";
34926
// synopsys translate_on
34927
 
34928
// atom is at LC8_F4
34929
flex10ke_lcell \vgrn[0]~COMB_I (
34930
// Equation(s):
34931
// vgrn_0 = cfg0_reg_out_0 & (pix_out_2) # !cfg0_reg_out_0 & \color[2]~367 
34932
 
34933
	.dataa(vcc),
34934
	.datab(cfg0_reg_out_0),
34935
	.datac(\color[2]~367 ),
34936
	.datad(\vga_double|pix_out [2]),
34937
	.aclr(gnd),
34938
	.aload(gnd),
34939
	.clk(gnd),
34940
	.cin(gnd),
34941
	.cascin(vcc),
34942
	.devclrn(devclrn),
34943
	.devpor(devpor),
34944
	.combout(vgrn_0),
34945
	.regout(),
34946
	.cout(),
34947
	.cascout());
34948
// synopsys translate_off
34949
defparam \vgrn[0]~COMB_I .clock_enable_mode = "false";
34950
defparam \vgrn[0]~COMB_I .lut_mask = "fc30";
34951
defparam \vgrn[0]~COMB_I .operation_mode = "normal";
34952
defparam \vgrn[0]~COMB_I .output_mode = "comb_only";
34953
defparam \vgrn[0]~COMB_I .packed_mode = "false";
34954
// synopsys translate_on
34955
 
34956
// atom is at LC4_F4
34957
flex10ke_lcell \vgrn[1]~COMB_I (
34958
// Equation(s):
34959
// vgrn_1 = cfg0_reg_out_0 & (pix_out_3) # !cfg0_reg_out_0 & \color[3]~368 
34960
 
34961
	.dataa(vcc),
34962
	.datab(cfg0_reg_out_0),
34963
	.datac(\color[3]~368 ),
34964
	.datad(\vga_double|pix_out [3]),
34965
	.aclr(gnd),
34966
	.aload(gnd),
34967
	.clk(gnd),
34968
	.cin(gnd),
34969
	.cascin(vcc),
34970
	.devclrn(devclrn),
34971
	.devpor(devpor),
34972
	.combout(vgrn_1),
34973
	.regout(),
34974
	.cout(),
34975
	.cascout());
34976
// synopsys translate_off
34977
defparam \vgrn[1]~COMB_I .clock_enable_mode = "false";
34978
defparam \vgrn[1]~COMB_I .lut_mask = "fc30";
34979
defparam \vgrn[1]~COMB_I .operation_mode = "normal";
34980
defparam \vgrn[1]~COMB_I .output_mode = "comb_only";
34981
defparam \vgrn[1]~COMB_I .packed_mode = "false";
34982
// synopsys translate_on
34983
 
34984
// atom is at LC4_F1
34985
flex10ke_lcell \vblu[0]~COMB_I (
34986
// Equation(s):
34987
// vblu_0 = cfg0_reg_out_0 & (pix_out_0) # !cfg0_reg_out_0 & \color[0]~369 
34988
 
34989
	.dataa(vcc),
34990
	.datab(cfg0_reg_out_0),
34991
	.datac(\color[0]~369 ),
34992
	.datad(\vga_double|pix_out [0]),
34993
	.aclr(gnd),
34994
	.aload(gnd),
34995
	.clk(gnd),
34996
	.cin(gnd),
34997
	.cascin(vcc),
34998
	.devclrn(devclrn),
34999
	.devpor(devpor),
35000
	.combout(vblu_0),
35001
	.regout(),
35002
	.cout(),
35003
	.cascout());
35004
// synopsys translate_off
35005
defparam \vblu[0]~COMB_I .clock_enable_mode = "false";
35006
defparam \vblu[0]~COMB_I .lut_mask = "fc30";
35007
defparam \vblu[0]~COMB_I .operation_mode = "normal";
35008
defparam \vblu[0]~COMB_I .output_mode = "comb_only";
35009
defparam \vblu[0]~COMB_I .packed_mode = "false";
35010
// synopsys translate_on
35011
 
35012
// atom is at LC1_F1
35013
flex10ke_lcell \vblu[1]~COMB_I (
35014
// Equation(s):
35015
// vblu_1 = cfg0_reg_out_0 & (pix_out_1) # !cfg0_reg_out_0 & \color[1]~370 
35016
 
35017
	.dataa(vcc),
35018
	.datab(cfg0_reg_out_0),
35019
	.datac(\color[1]~370 ),
35020
	.datad(\vga_double|pix_out [1]),
35021
	.aclr(gnd),
35022
	.aload(gnd),
35023
	.clk(gnd),
35024
	.cin(gnd),
35025
	.cascin(vcc),
35026
	.devclrn(devclrn),
35027
	.devpor(devpor),
35028
	.combout(vblu_1),
35029
	.regout(),
35030
	.cout(),
35031
	.cascout());
35032
// synopsys translate_off
35033
defparam \vblu[1]~COMB_I .clock_enable_mode = "false";
35034
defparam \vblu[1]~COMB_I .lut_mask = "fc30";
35035
defparam \vblu[1]~COMB_I .operation_mode = "normal";
35036
defparam \vblu[1]~COMB_I .output_mode = "comb_only";
35037
defparam \vblu[1]~COMB_I .packed_mode = "false";
35038
// synopsys translate_on
35039
 
35040
// atom is at LC6_I12
35041
flex10ke_lcell \vhsync~I (
35042
// Equation(s):
35043
// vhsync = DFFEA(cfg0_reg_out_0 & (vga_hsync) # !cfg0_reg_out_0 & hsync, GLOBAL(\fclk~dataout ), , , , , )
35044
 
35045
	.dataa(vcc),
35046
	.datab(cfg0_reg_out_0),
35047
	.datac(hsync),
35048
	.datad(vga_hsync),
35049
	.aclr(gnd),
35050
	.aload(gnd),
35051
	.clk(fclk),
35052
	.cin(gnd),
35053
	.cascin(vcc),
35054
	.devclrn(devclrn),
35055
	.devpor(devpor),
35056
	.combout(),
35057
	.regout(vhsync),
35058
	.cout(),
35059
	.cascout());
35060
// synopsys translate_off
35061
defparam \vhsync~I .clock_enable_mode = "false";
35062
defparam \vhsync~I .lut_mask = "fc30";
35063
defparam \vhsync~I .operation_mode = "normal";
35064
defparam \vhsync~I .output_mode = "reg_only";
35065
defparam \vhsync~I .packed_mode = "false";
35066
// synopsys translate_on
35067
 
35068
// atom is at LC8_H2
35069
flex10ke_lcell \vvsync~I (
35070
// Equation(s):
35071
// vvsync = DFFEA(vsync, GLOBAL(\fclk~dataout ), , , , , )
35072
 
35073
	.dataa(vcc),
35074
	.datab(vcc),
35075
	.datac(vcc),
35076
	.datad(vsync),
35077
	.aclr(gnd),
35078
	.aload(gnd),
35079
	.clk(fclk),
35080
	.cin(gnd),
35081
	.cascin(vcc),
35082
	.devclrn(devclrn),
35083
	.devpor(devpor),
35084
	.combout(),
35085
	.regout(vvsync),
35086
	.cout(),
35087
	.cascout());
35088
// synopsys translate_off
35089
defparam \vvsync~I .clock_enable_mode = "false";
35090
defparam \vvsync~I .lut_mask = "ff00";
35091
defparam \vvsync~I .operation_mode = "normal";
35092
defparam \vvsync~I .output_mode = "reg_only";
35093
defparam \vvsync~I .packed_mode = "false";
35094
// synopsys translate_on
35095
 
35096
// atom is at LC4_H2
35097
flex10ke_lcell \vcsync~I (
35098
// Equation(s):
35099
// vcsync = DFFEA(vsync $ !hsync, GLOBAL(\fclk~dataout ), , , , , )
35100
 
35101
	.dataa(vcc),
35102
	.datab(vcc),
35103
	.datac(vsync),
35104
	.datad(hsync),
35105
	.aclr(gnd),
35106
	.aload(gnd),
35107
	.clk(fclk),
35108
	.cin(gnd),
35109
	.cascin(vcc),
35110
	.devclrn(devclrn),
35111
	.devpor(devpor),
35112
	.combout(),
35113
	.regout(vcsync),
35114
	.cout(),
35115
	.cascout());
35116
// synopsys translate_off
35117
defparam \vcsync~I .clock_enable_mode = "false";
35118
defparam \vcsync~I .lut_mask = "f00f";
35119
defparam \vcsync~I .operation_mode = "normal";
35120
defparam \vcsync~I .output_mode = "reg_only";
35121
defparam \vcsync~I .packed_mode = "false";
35122
// synopsys translate_on
35123
 
35124
// atom is at LC1_H13
35125
flex10ke_lcell \color[0]~362_I (
35126
// Equation(s):
35127
// \color[0]~362  = !hblank & !vblank & hpix & vpix
35128
 
35129
	.dataa(hblank),
35130
	.datab(vblank),
35131
	.datac(hpix),
35132
	.datad(vpix),
35133
	.aclr(gnd),
35134
	.aload(gnd),
35135
	.clk(gnd),
35136
	.cin(gnd),
35137
	.cascin(vcc),
35138
	.devclrn(devclrn),
35139
	.devpor(devpor),
35140
	.combout(\color[0]~362 ),
35141
	.regout(),
35142
	.cout(),
35143
	.cascout());
35144
// synopsys translate_off
35145
defparam \color[0]~362_I .clock_enable_mode = "false";
35146
defparam \color[0]~362_I .lut_mask = "1000";
35147
defparam \color[0]~362_I .operation_mode = "normal";
35148
defparam \color[0]~362_I .output_mode = "comb_only";
35149
defparam \color[0]~362_I .packed_mode = "false";
35150
// synopsys translate_on
35151
 
35152
// atom is at LC5_F2
35153
flex10ke_lcell \color[0]~363_I (
35154
// Equation(s):
35155
// \color[0]~363  = \color[0]~362  & (peff7_int_0 & (Mux10) # !peff7_int_0 & zxcolor_3)
35156
 
35157
	.dataa(peff7_int_0),
35158
	.datab(zxcolor_3),
35159
	.datac(Mux10),
35160
	.datad(\color[0]~362 ),
35161
	.aclr(gnd),
35162
	.aload(gnd),
35163
	.clk(gnd),
35164
	.cin(gnd),
35165
	.cascin(vcc),
35166
	.devclrn(devclrn),
35167
	.devpor(devpor),
35168
	.combout(\color[0]~363 ),
35169
	.regout(),
35170
	.cout(),
35171
	.cascout());
35172
// synopsys translate_off
35173
defparam \color[0]~363_I .clock_enable_mode = "false";
35174
defparam \color[0]~363_I .lut_mask = "e400";
35175
defparam \color[0]~363_I .operation_mode = "normal";
35176
defparam \color[0]~363_I .output_mode = "comb_only";
35177
defparam \color[0]~363_I .packed_mode = "false";
35178
// synopsys translate_on
35179
 
35180
// atom is at LC1_F2
35181
flex10ke_lcell \color[4]~364_I (
35182
// Equation(s):
35183
// \color[4]~364  = \color[0]~363  & (peff7_int_0 & (Mux15) # !peff7_int_0 & zxcolor)
35184
 
35185
	.dataa(peff7_int_0),
35186
	.datab(zxcolor),
35187
	.datac(Mux15),
35188
	.datad(\color[0]~363 ),
35189
	.aclr(gnd),
35190
	.aload(gnd),
35191
	.clk(gnd),
35192
	.cin(gnd),
35193
	.cascin(vcc),
35194
	.devclrn(devclrn),
35195
	.devpor(devpor),
35196
	.combout(\color[4]~364 ),
35197
	.regout(),
35198
	.cout(),
35199
	.cascout());
35200
// synopsys translate_off
35201
defparam \color[4]~364_I .clock_enable_mode = "false";
35202
defparam \color[4]~364_I .lut_mask = "e400";
35203
defparam \color[4]~364_I .operation_mode = "normal";
35204
defparam \color[4]~364_I .output_mode = "comb_only";
35205
defparam \color[4]~364_I .packed_mode = "false";
35206
// synopsys translate_on
35207
 
35208
// atom is at LC4_H13
35209
flex10ke_lcell \color[5]~365_I (
35210
// Equation(s):
35211
// \color[5]~365  = !hblank & !vblank & (!vpix # !hpix)
35212
 
35213
	.dataa(hblank),
35214
	.datab(vblank),
35215
	.datac(hpix),
35216
	.datad(vpix),
35217
	.aclr(gnd),
35218
	.aload(gnd),
35219
	.clk(gnd),
35220
	.cin(gnd),
35221
	.cascin(vcc),
35222
	.devclrn(devclrn),
35223
	.devpor(devpor),
35224
	.combout(\color[5]~365 ),
35225
	.regout(),
35226
	.cout(),
35227
	.cascout());
35228
// synopsys translate_off
35229
defparam \color[5]~365_I .clock_enable_mode = "false";
35230
defparam \color[5]~365_I .lut_mask = "0111";
35231
defparam \color[5]~365_I .operation_mode = "normal";
35232
defparam \color[5]~365_I .output_mode = "comb_only";
35233
defparam \color[5]~365_I .packed_mode = "false";
35234
// synopsys translate_on
35235
 
35236
// atom is at LC7_F2
35237
flex10ke_lcell \color[5]~366_I (
35238
// Equation(s):
35239
// \color[5]~366  = \color[0]~362  & (zxcolor_1 # \color[5]~365  & border_1) # !\color[0]~362  & \color[5]~365  & border_1
35240
 
35241
	.dataa(\color[0]~362 ),
35242
	.datab(\color[5]~365 ),
35243
	.datac(border_1),
35244
	.datad(zxcolor_1),
35245
	.aclr(gnd),
35246
	.aload(gnd),
35247
	.clk(gnd),
35248
	.cin(gnd),
35249
	.cascin(vcc),
35250
	.devclrn(devclrn),
35251
	.devpor(devpor),
35252
	.combout(\color[5]~366 ),
35253
	.regout(),
35254
	.cout(),
35255
	.cascout());
35256
// synopsys translate_off
35257
defparam \color[5]~366_I .clock_enable_mode = "false";
35258
defparam \color[5]~366_I .lut_mask = "eac0";
35259
defparam \color[5]~366_I .operation_mode = "normal";
35260
defparam \color[5]~366_I .output_mode = "comb_only";
35261
defparam \color[5]~366_I .packed_mode = "false";
35262
// synopsys translate_on
35263
 
35264
// atom is at LC2_F4
35265
flex10ke_lcell \color[2]~367_I (
35266
// Equation(s):
35267
// \color[2]~367  = \color[0]~363  & (peff7_int_0 & (Mux14) # !peff7_int_0 & zxcolor1)
35268
 
35269
	.dataa(peff7_int_0),
35270
	.datab(zxcolor1),
35271
	.datac(Mux14),
35272
	.datad(\color[0]~363 ),
35273
	.aclr(gnd),
35274
	.aload(gnd),
35275
	.clk(gnd),
35276
	.cin(gnd),
35277
	.cascin(vcc),
35278
	.devclrn(devclrn),
35279
	.devpor(devpor),
35280
	.combout(\color[2]~367 ),
35281
	.regout(),
35282
	.cout(),
35283
	.cascout());
35284
// synopsys translate_off
35285
defparam \color[2]~367_I .clock_enable_mode = "false";
35286
defparam \color[2]~367_I .lut_mask = "e400";
35287
defparam \color[2]~367_I .operation_mode = "normal";
35288
defparam \color[2]~367_I .output_mode = "comb_only";
35289
defparam \color[2]~367_I .packed_mode = "false";
35290
// synopsys translate_on
35291
 
35292
// atom is at LC3_F4
35293
flex10ke_lcell \color[3]~368_I (
35294
// Equation(s):
35295
// \color[3]~368  = zxcolor_2 & (\color[0]~362  # border_2 & \color[5]~365 ) # !zxcolor_2 & border_2 & \color[5]~365 
35296
 
35297
	.dataa(zxcolor_2),
35298
	.datab(border_2),
35299
	.datac(\color[5]~365 ),
35300
	.datad(\color[0]~362 ),
35301
	.aclr(gnd),
35302
	.aload(gnd),
35303
	.clk(gnd),
35304
	.cin(gnd),
35305
	.cascin(vcc),
35306
	.devclrn(devclrn),
35307
	.devpor(devpor),
35308
	.combout(\color[3]~368 ),
35309
	.regout(),
35310
	.cout(),
35311
	.cascout());
35312
// synopsys translate_off
35313
defparam \color[3]~368_I .clock_enable_mode = "false";
35314
defparam \color[3]~368_I .lut_mask = "eac0";
35315
defparam \color[3]~368_I .operation_mode = "normal";
35316
defparam \color[3]~368_I .output_mode = "comb_only";
35317
defparam \color[3]~368_I .packed_mode = "false";
35318
// synopsys translate_on
35319
 
35320
// atom is at LC5_F1
35321
flex10ke_lcell \color[0]~369_I (
35322
// Equation(s):
35323
// \color[0]~369  = \color[0]~363  & (peff7_int_0 & (Mux16) # !peff7_int_0 & zxcolor2)
35324
 
35325
	.dataa(peff7_int_0),
35326
	.datab(zxcolor2),
35327
	.datac(Mux16),
35328
	.datad(\color[0]~363 ),
35329
	.aclr(gnd),
35330
	.aload(gnd),
35331
	.clk(gnd),
35332
	.cin(gnd),
35333
	.cascin(vcc),
35334
	.devclrn(devclrn),
35335
	.devpor(devpor),
35336
	.combout(\color[0]~369 ),
35337
	.regout(),
35338
	.cout(),
35339
	.cascout());
35340
// synopsys translate_off
35341
defparam \color[0]~369_I .clock_enable_mode = "false";
35342
defparam \color[0]~369_I .lut_mask = "e400";
35343
defparam \color[0]~369_I .operation_mode = "normal";
35344
defparam \color[0]~369_I .output_mode = "comb_only";
35345
defparam \color[0]~369_I .packed_mode = "false";
35346
// synopsys translate_on
35347
 
35348
// atom is at LC3_F1
35349
flex10ke_lcell \color[1]~370_I (
35350
// Equation(s):
35351
// \color[1]~370  = zxcolor_0 & (\color[0]~362  # border_0 & \color[5]~365 ) # !zxcolor_0 & border_0 & \color[5]~365 
35352
 
35353
	.dataa(zxcolor_0),
35354
	.datab(border_0),
35355
	.datac(\color[5]~365 ),
35356
	.datad(\color[0]~362 ),
35357
	.aclr(gnd),
35358
	.aload(gnd),
35359
	.clk(gnd),
35360
	.cin(gnd),
35361
	.cascin(vcc),
35362
	.devclrn(devclrn),
35363
	.devpor(devpor),
35364
	.combout(\color[1]~370 ),
35365
	.regout(),
35366
	.cout(),
35367
	.cascout());
35368
// synopsys translate_off
35369
defparam \color[1]~370_I .clock_enable_mode = "false";
35370
defparam \color[1]~370_I .lut_mask = "eac0";
35371
defparam \color[1]~370_I .operation_mode = "normal";
35372
defparam \color[1]~370_I .output_mode = "comb_only";
35373
defparam \color[1]~370_I .packed_mode = "false";
35374
// synopsys translate_on
35375
 
35376
endmodule
35377
 
35378
module vga_double (
35379
	pix_out_4,
35380
	color_4,
35381
	pix_out_5,
35382
	color_5,
35383
	pix_out_2,
35384
	color_2,
35385
	pix_out_3,
35386
	color_3,
35387
	pix_out_0,
35388
	color_0,
35389
	pix_out_1,
35390
	color_1,
35391
	line_start,
35392
	scanout_start,
35393
	hsync_start,
35394
	fclk,
35395
	devpor,
35396
	devclrn,
35397
	devoe);
35398
output 	pix_out_4;
35399
input 	color_4;
35400
output 	pix_out_5;
35401
input 	color_5;
35402
output 	pix_out_2;
35403
input 	color_2;
35404
output 	pix_out_3;
35405
input 	color_3;
35406
output 	pix_out_0;
35407
input 	color_0;
35408
output 	pix_out_1;
35409
input 	color_1;
35410
input 	line_start;
35411
input 	scanout_start;
35412
input 	hsync_start;
35413
input 	fclk;
35414
input 	devpor;
35415
input 	devclrn;
35416
input 	devoe;
35417
 
35418
wire gnd = 1'b0;
35419
wire vcc = 1'b1;
35420
 
35421
wire wr_stb;
35422
wire pages;
35423
wire \wr_stb~40 ;
35424
wire \ptr_in[5]~495 ;
35425
wire \ptr_out[0]~276 ;
35426
wire \Equal1~11 ;
35427
wire [9:0] ptr_in;
35428
wire [9:0] ptr_out;
35429
wire [9:0] \Add1|adder|result_node|cout ;
35430
wire [9:0] \Add1|adder|result_node|cs_buffer ;
35431
wire [9:0] \Add1|adder|unreg_res_node ;
35432
wire [5:0] \line_buf|mem_rtl_12|q ;
35433
wire [9:0] \Add0|adder|result_node|cs_buffer ;
35434
wire [9:0] \Add0|adder|result_node|cout ;
35435
wire [9:0] \Add0|adder|unreg_res_node ;
35436
 
35437
 
35438
mem1536 line_buf(
35439
	.color_4(color_4),
35440
	.color_5(color_5),
35441
	.color_2(color_2),
35442
	.color_3(color_3),
35443
	.color_0(color_0),
35444
	.color_1(color_1),
35445
	.q_4(\line_buf|mem_rtl_12|q [4]),
35446
	.ptr_out_8(ptr_out[8]),
35447
	.ptr_out_9(ptr_out[9]),
35448
	.q_5(\line_buf|mem_rtl_12|q [5]),
35449
	.q_2(\line_buf|mem_rtl_12|q [2]),
35450
	.q_3(\line_buf|mem_rtl_12|q [3]),
35451
	.q_0(\line_buf|mem_rtl_12|q [0]),
35452
	.q_1(\line_buf|mem_rtl_12|q [1]),
35453
	.wr_stb(wr_stb),
35454
	.ptr_in_0(ptr_in[0]),
35455
	.ptr_in_1(ptr_in[1]),
35456
	.ptr_in_2(ptr_in[2]),
35457
	.ptr_in_3(ptr_in[3]),
35458
	.ptr_in_4(ptr_in[4]),
35459
	.ptr_in_5(ptr_in[5]),
35460
	.ptr_in_6(ptr_in[6]),
35461
	.ptr_in_7(ptr_in[7]),
35462
	.pages(pages),
35463
	.ptr_in_8(ptr_in[8]),
35464
	.ptr_in_9(ptr_in[9]),
35465
	.ptr_out_0(ptr_out[0]),
35466
	.ptr_out_1(ptr_out[1]),
35467
	.ptr_out_2(ptr_out[2]),
35468
	.ptr_out_3(ptr_out[3]),
35469
	.ptr_out_4(ptr_out[4]),
35470
	.ptr_out_5(ptr_out[5]),
35471
	.ptr_out_6(ptr_out[6]),
35472
	.ptr_out_7(ptr_out[7]),
35473
	.fclk(fclk),
35474
	.devpor(devpor),
35475
	.devclrn(devclrn),
35476
	.devoe(devoe));
35477
 
35478
// atom is at LC1_J12
35479
flex10ke_lcell \wr_stb~I (
35480
// Equation(s):
35481
// wr_stb = DFFEA(wr_stb $ (!line_start & (!ptr_in[8] # !ptr_in[9])), GLOBAL(\fclk~dataout ), , , , , )
35482
 
35483
	.dataa(wr_stb),
35484
	.datab(line_start),
35485
	.datac(ptr_in[9]),
35486
	.datad(ptr_in[8]),
35487
	.aclr(gnd),
35488
	.aload(gnd),
35489
	.clk(fclk),
35490
	.cin(gnd),
35491
	.cascin(vcc),
35492
	.devclrn(devclrn),
35493
	.devpor(devpor),
35494
	.combout(),
35495
	.regout(wr_stb),
35496
	.cout(),
35497
	.cascout());
35498
// synopsys translate_off
35499
defparam \wr_stb~I .clock_enable_mode = "false";
35500
defparam \wr_stb~I .lut_mask = "a999";
35501
defparam \wr_stb~I .operation_mode = "normal";
35502
defparam \wr_stb~I .output_mode = "reg_only";
35503
defparam \wr_stb~I .packed_mode = "false";
35504
// synopsys translate_on
35505
 
35506
// atom is at LC3_J5
35507
flex10ke_lcell \ptr_in[0]~I (
35508
// Equation(s):
35509
// ptr_in[0] = DFFEA(\Add0|adder|result_node|cs_buffer [0], GLOBAL(\fclk~dataout ), , , \wr_stb~40 , , )
35510
 
35511
	.dataa(\wr_stb~40 ),
35512
	.datab(vcc),
35513
	.datac(vcc),
35514
	.datad(\Add0|adder|result_node|cs_buffer [0]),
35515
	.aclr(gnd),
35516
	.aload(gnd),
35517
	.clk(fclk),
35518
	.cin(gnd),
35519
	.cascin(vcc),
35520
	.devclrn(devclrn),
35521
	.devpor(devpor),
35522
	.combout(),
35523
	.regout(ptr_in[0]),
35524
	.cout(),
35525
	.cascout());
35526
// synopsys translate_off
35527
defparam \ptr_in[0]~I .clock_enable_mode = "true";
35528
defparam \ptr_in[0]~I .lut_mask = "ff00";
35529
defparam \ptr_in[0]~I .operation_mode = "normal";
35530
defparam \ptr_in[0]~I .output_mode = "reg_only";
35531
defparam \ptr_in[0]~I .packed_mode = "false";
35532
// synopsys translate_on
35533
 
35534
// atom is at LC8_J7
35535
flex10ke_lcell \ptr_in[1]~I (
35536
// Equation(s):
35537
// ptr_in[1] = DFFEA(\Add0|adder|result_node|cs_buffer [1], GLOBAL(\fclk~dataout ), , , \wr_stb~40 , , )
35538
 
35539
	.dataa(\wr_stb~40 ),
35540
	.datab(vcc),
35541
	.datac(vcc),
35542
	.datad(\Add0|adder|result_node|cs_buffer [1]),
35543
	.aclr(gnd),
35544
	.aload(gnd),
35545
	.clk(fclk),
35546
	.cin(gnd),
35547
	.cascin(vcc),
35548
	.devclrn(devclrn),
35549
	.devpor(devpor),
35550
	.combout(),
35551
	.regout(ptr_in[1]),
35552
	.cout(),
35553
	.cascout());
35554
// synopsys translate_off
35555
defparam \ptr_in[1]~I .clock_enable_mode = "true";
35556
defparam \ptr_in[1]~I .lut_mask = "ff00";
35557
defparam \ptr_in[1]~I .operation_mode = "normal";
35558
defparam \ptr_in[1]~I .output_mode = "reg_only";
35559
defparam \ptr_in[1]~I .packed_mode = "false";
35560
// synopsys translate_on
35561
 
35562
// atom is at LC2_J5
35563
flex10ke_lcell \ptr_in[2]~I (
35564
// Equation(s):
35565
// ptr_in[2] = DFFEA(\Add0|adder|result_node|cs_buffer [2], GLOBAL(\fclk~dataout ), , , \wr_stb~40 , , )
35566
 
35567
	.dataa(\wr_stb~40 ),
35568
	.datab(vcc),
35569
	.datac(vcc),
35570
	.datad(\Add0|adder|result_node|cs_buffer [2]),
35571
	.aclr(gnd),
35572
	.aload(gnd),
35573
	.clk(fclk),
35574
	.cin(gnd),
35575
	.cascin(vcc),
35576
	.devclrn(devclrn),
35577
	.devpor(devpor),
35578
	.combout(),
35579
	.regout(ptr_in[2]),
35580
	.cout(),
35581
	.cascout());
35582
// synopsys translate_off
35583
defparam \ptr_in[2]~I .clock_enable_mode = "true";
35584
defparam \ptr_in[2]~I .lut_mask = "ff00";
35585
defparam \ptr_in[2]~I .operation_mode = "normal";
35586
defparam \ptr_in[2]~I .output_mode = "reg_only";
35587
defparam \ptr_in[2]~I .packed_mode = "false";
35588
// synopsys translate_on
35589
 
35590
// atom is at LC1_J5
35591
flex10ke_lcell \ptr_in[3]~I (
35592
// Equation(s):
35593
// ptr_in[3] = DFFEA(\Add0|adder|result_node|cs_buffer [3], GLOBAL(\fclk~dataout ), , , \wr_stb~40 , , )
35594
 
35595
	.dataa(\wr_stb~40 ),
35596
	.datab(vcc),
35597
	.datac(vcc),
35598
	.datad(\Add0|adder|result_node|cs_buffer [3]),
35599
	.aclr(gnd),
35600
	.aload(gnd),
35601
	.clk(fclk),
35602
	.cin(gnd),
35603
	.cascin(vcc),
35604
	.devclrn(devclrn),
35605
	.devpor(devpor),
35606
	.combout(),
35607
	.regout(ptr_in[3]),
35608
	.cout(),
35609
	.cascout());
35610
// synopsys translate_off
35611
defparam \ptr_in[3]~I .clock_enable_mode = "true";
35612
defparam \ptr_in[3]~I .lut_mask = "ff00";
35613
defparam \ptr_in[3]~I .operation_mode = "normal";
35614
defparam \ptr_in[3]~I .output_mode = "reg_only";
35615
defparam \ptr_in[3]~I .packed_mode = "false";
35616
// synopsys translate_on
35617
 
35618
// atom is at LC2_J12
35619
flex10ke_lcell \ptr_in[4]~I (
35620
// Equation(s):
35621
// ptr_in[4] = DFFEA(line_start # \Add0|adder|result_node|cs_buffer [4], GLOBAL(\fclk~dataout ), , , \ptr_in[5]~495 , , )
35622
 
35623
	.dataa(\ptr_in[5]~495 ),
35624
	.datab(vcc),
35625
	.datac(line_start),
35626
	.datad(\Add0|adder|result_node|cs_buffer [4]),
35627
	.aclr(gnd),
35628
	.aload(gnd),
35629
	.clk(fclk),
35630
	.cin(gnd),
35631
	.cascin(vcc),
35632
	.devclrn(devclrn),
35633
	.devpor(devpor),
35634
	.combout(),
35635
	.regout(ptr_in[4]),
35636
	.cout(),
35637
	.cascout());
35638
// synopsys translate_off
35639
defparam \ptr_in[4]~I .clock_enable_mode = "true";
35640
defparam \ptr_in[4]~I .lut_mask = "fff0";
35641
defparam \ptr_in[4]~I .operation_mode = "normal";
35642
defparam \ptr_in[4]~I .output_mode = "reg_only";
35643
defparam \ptr_in[4]~I .packed_mode = "false";
35644
// synopsys translate_on
35645
 
35646
// atom is at LC4_J12
35647
flex10ke_lcell \ptr_in[5]~I (
35648
// Equation(s):
35649
// ptr_in[5] = DFFEA(line_start # \Add0|adder|result_node|cs_buffer [5], GLOBAL(\fclk~dataout ), , , \ptr_in[5]~495 , , )
35650
 
35651
	.dataa(\ptr_in[5]~495 ),
35652
	.datab(vcc),
35653
	.datac(line_start),
35654
	.datad(\Add0|adder|result_node|cs_buffer [5]),
35655
	.aclr(gnd),
35656
	.aload(gnd),
35657
	.clk(fclk),
35658
	.cin(gnd),
35659
	.cascin(vcc),
35660
	.devclrn(devclrn),
35661
	.devpor(devpor),
35662
	.combout(),
35663
	.regout(ptr_in[5]),
35664
	.cout(),
35665
	.cascout());
35666
// synopsys translate_off
35667
defparam \ptr_in[5]~I .clock_enable_mode = "true";
35668
defparam \ptr_in[5]~I .lut_mask = "fff0";
35669
defparam \ptr_in[5]~I .operation_mode = "normal";
35670
defparam \ptr_in[5]~I .output_mode = "reg_only";
35671
defparam \ptr_in[5]~I .packed_mode = "false";
35672
// synopsys translate_on
35673
 
35674
// atom is at LC6_J7
35675
flex10ke_lcell \ptr_in[6]~I (
35676
// Equation(s):
35677
// ptr_in[6] = DFFEA(\Add0|adder|result_node|cs_buffer [6], GLOBAL(\fclk~dataout ), , , \wr_stb~40 , , )
35678
 
35679
	.dataa(\wr_stb~40 ),
35680
	.datab(vcc),
35681
	.datac(vcc),
35682
	.datad(\Add0|adder|result_node|cs_buffer [6]),
35683
	.aclr(gnd),
35684
	.aload(gnd),
35685
	.clk(fclk),
35686
	.cin(gnd),
35687
	.cascin(vcc),
35688
	.devclrn(devclrn),
35689
	.devpor(devpor),
35690
	.combout(),
35691
	.regout(ptr_in[6]),
35692
	.cout(),
35693
	.cascout());
35694
// synopsys translate_off
35695
defparam \ptr_in[6]~I .clock_enable_mode = "true";
35696
defparam \ptr_in[6]~I .lut_mask = "ff00";
35697
defparam \ptr_in[6]~I .operation_mode = "normal";
35698
defparam \ptr_in[6]~I .output_mode = "reg_only";
35699
defparam \ptr_in[6]~I .packed_mode = "false";
35700
// synopsys translate_on
35701
 
35702
// atom is at LC7_J7
35703
flex10ke_lcell \ptr_in[7]~I (
35704
// Equation(s):
35705
// ptr_in[7] = DFFEA(\Add0|adder|result_node|cs_buffer [7], GLOBAL(\fclk~dataout ), , , \wr_stb~40 , , )
35706
 
35707
	.dataa(\wr_stb~40 ),
35708
	.datab(vcc),
35709
	.datac(vcc),
35710
	.datad(\Add0|adder|result_node|cs_buffer [7]),
35711
	.aclr(gnd),
35712
	.aload(gnd),
35713
	.clk(fclk),
35714
	.cin(gnd),
35715
	.cascin(vcc),
35716
	.devclrn(devclrn),
35717
	.devpor(devpor),
35718
	.combout(),
35719
	.regout(ptr_in[7]),
35720
	.cout(),
35721
	.cascout());
35722
// synopsys translate_off
35723
defparam \ptr_in[7]~I .clock_enable_mode = "true";
35724
defparam \ptr_in[7]~I .lut_mask = "ff00";
35725
defparam \ptr_in[7]~I .operation_mode = "normal";
35726
defparam \ptr_in[7]~I .output_mode = "reg_only";
35727
defparam \ptr_in[7]~I .packed_mode = "false";
35728
// synopsys translate_on
35729
 
35730
// atom is at LC2_B7
35731
flex10ke_lcell \pages~I (
35732
// Equation(s):
35733
// pages = DFFEA(!pages, GLOBAL(\fclk~dataout ), , , hsync_start, , )
35734
 
35735
	.dataa(hsync_start),
35736
	.datab(vcc),
35737
	.datac(vcc),
35738
	.datad(pages),
35739
	.aclr(gnd),
35740
	.aload(gnd),
35741
	.clk(fclk),
35742
	.cin(gnd),
35743
	.cascin(vcc),
35744
	.devclrn(devclrn),
35745
	.devpor(devpor),
35746
	.combout(),
35747
	.regout(pages),
35748
	.cout(),
35749
	.cascout());
35750
// synopsys translate_off
35751
defparam \pages~I .clock_enable_mode = "true";
35752
defparam \pages~I .lut_mask = "00ff";
35753
defparam \pages~I .operation_mode = "normal";
35754
defparam \pages~I .output_mode = "reg_only";
35755
defparam \pages~I .packed_mode = "false";
35756
// synopsys translate_on
35757
 
35758
// atom is at LC2_J2
35759
flex10ke_lcell \ptr_in[8]~I (
35760
// Equation(s):
35761
// ptr_in[8] = DFFEA(!line_start & (\Add0|adder|result_node|cs_buffer [8] # ptr_in[9] & ptr_in[8]), GLOBAL(\fclk~dataout ), , , , , )
35762
 
35763
	.dataa(line_start),
35764
	.datab(ptr_in[9]),
35765
	.datac(ptr_in[8]),
35766
	.datad(\Add0|adder|result_node|cs_buffer [8]),
35767
	.aclr(gnd),
35768
	.aload(gnd),
35769
	.clk(fclk),
35770
	.cin(gnd),
35771
	.cascin(vcc),
35772
	.devclrn(devclrn),
35773
	.devpor(devpor),
35774
	.combout(),
35775
	.regout(ptr_in[8]),
35776
	.cout(),
35777
	.cascout());
35778
// synopsys translate_off
35779
defparam \ptr_in[8]~I .clock_enable_mode = "false";
35780
defparam \ptr_in[8]~I .lut_mask = "5540";
35781
defparam \ptr_in[8]~I .operation_mode = "normal";
35782
defparam \ptr_in[8]~I .output_mode = "reg_only";
35783
defparam \ptr_in[8]~I .packed_mode = "false";
35784
// synopsys translate_on
35785
 
35786
// atom is at LC7_J12
35787
flex10ke_lcell \ptr_in[9]~I (
35788
// Equation(s):
35789
// ptr_in[9] = DFFEA(!line_start & (\Add0|adder|unreg_res_node [9] # ptr_in[9] & ptr_in[8]), GLOBAL(\fclk~dataout ), , , , , )
35790
 
35791
	.dataa(line_start),
35792
	.datab(ptr_in[9]),
35793
	.datac(ptr_in[8]),
35794
	.datad(\Add0|adder|unreg_res_node [9]),
35795
	.aclr(gnd),
35796
	.aload(gnd),
35797
	.clk(fclk),
35798
	.cin(gnd),
35799
	.cascin(vcc),
35800
	.devclrn(devclrn),
35801
	.devpor(devpor),
35802
	.combout(),
35803
	.regout(ptr_in[9]),
35804
	.cout(),
35805
	.cascout());
35806
// synopsys translate_off
35807
defparam \ptr_in[9]~I .clock_enable_mode = "false";
35808
defparam \ptr_in[9]~I .lut_mask = "5540";
35809
defparam \ptr_in[9]~I .operation_mode = "normal";
35810
defparam \ptr_in[9]~I .output_mode = "reg_only";
35811
defparam \ptr_in[9]~I .packed_mode = "false";
35812
// synopsys translate_on
35813
 
35814
// atom is at LC4_C17
35815
flex10ke_lcell \ptr_out[0]~I (
35816
// Equation(s):
35817
// ptr_out[0] = DFFEA(!\Add1|adder|result_node|cs_buffer [0], GLOBAL(\fclk~dataout ), , , \ptr_out[0]~276 , , )
35818
 
35819
	.dataa(\ptr_out[0]~276 ),
35820
	.datab(vcc),
35821
	.datac(vcc),
35822
	.datad(\Add1|adder|result_node|cs_buffer [0]),
35823
	.aclr(gnd),
35824
	.aload(gnd),
35825
	.clk(fclk),
35826
	.cin(gnd),
35827
	.cascin(vcc),
35828
	.devclrn(devclrn),
35829
	.devpor(devpor),
35830
	.combout(),
35831
	.regout(ptr_out[0]),
35832
	.cout(),
35833
	.cascout());
35834
// synopsys translate_off
35835
defparam \ptr_out[0]~I .clock_enable_mode = "true";
35836
defparam \ptr_out[0]~I .lut_mask = "00ff";
35837
defparam \ptr_out[0]~I .operation_mode = "normal";
35838
defparam \ptr_out[0]~I .output_mode = "reg_only";
35839
defparam \ptr_out[0]~I .packed_mode = "false";
35840
// synopsys translate_on
35841
 
35842
// atom is at LC4_J5
35843
flex10ke_lcell \Add0|adder|result_node|cs_buffer[0]~I (
35844
// Equation(s):
35845
// \Add0|adder|result_node|cs_buffer [0] = ptr_in[0] $ wr_stb
35846
// \Add0|adder|result_node|cout [0] = CARRY(ptr_in[0] & wr_stb)
35847
 
35848
	.dataa(ptr_in[0]),
35849
	.datab(wr_stb),
35850
	.datac(vcc),
35851
	.datad(vcc),
35852
	.aclr(gnd),
35853
	.aload(gnd),
35854
	.clk(gnd),
35855
	.cin(gnd),
35856
	.cascin(vcc),
35857
	.devclrn(devclrn),
35858
	.devpor(devpor),
35859
	.combout(\Add0|adder|result_node|cs_buffer [0]),
35860
	.regout(),
35861
	.cout(\Add0|adder|result_node|cout [0]),
35862
	.cascout());
35863
// synopsys translate_off
35864
defparam \Add0|adder|result_node|cs_buffer[0]~I .clock_enable_mode = "false";
35865
defparam \Add0|adder|result_node|cs_buffer[0]~I .lut_mask = "6688";
35866
defparam \Add0|adder|result_node|cs_buffer[0]~I .operation_mode = "arithmetic";
35867
defparam \Add0|adder|result_node|cs_buffer[0]~I .output_mode = "comb_only";
35868
defparam \Add0|adder|result_node|cs_buffer[0]~I .packed_mode = "false";
35869
// synopsys translate_on
35870
 
35871
// atom is at LC3_J12
35872
flex10ke_lcell \wr_stb~40_I (
35873
// Equation(s):
35874
// \wr_stb~40  = !line_start & (!ptr_in[8] # !ptr_in[9])
35875
 
35876
	.dataa(vcc),
35877
	.datab(ptr_in[9]),
35878
	.datac(ptr_in[8]),
35879
	.datad(line_start),
35880
	.aclr(gnd),
35881
	.aload(gnd),
35882
	.clk(gnd),
35883
	.cin(gnd),
35884
	.cascin(vcc),
35885
	.devclrn(devclrn),
35886
	.devpor(devpor),
35887
	.combout(\wr_stb~40 ),
35888
	.regout(),
35889
	.cout(),
35890
	.cascout());
35891
// synopsys translate_off
35892
defparam \wr_stb~40_I .clock_enable_mode = "false";
35893
defparam \wr_stb~40_I .lut_mask = "003f";
35894
defparam \wr_stb~40_I .operation_mode = "normal";
35895
defparam \wr_stb~40_I .output_mode = "comb_only";
35896
defparam \wr_stb~40_I .packed_mode = "false";
35897
// synopsys translate_on
35898
 
35899
// atom is at LC5_J5
35900
flex10ke_lcell \Add0|adder|result_node|cs_buffer[1]~I (
35901
// Equation(s):
35902
// \Add0|adder|result_node|cs_buffer [1] = ptr_in[1] $ \Add0|adder|result_node|cout [0]
35903
// \Add0|adder|result_node|cout [1] = CARRY(ptr_in[1] & \Add0|adder|result_node|cout [0])
35904
 
35905
	.dataa(vcc),
35906
	.datab(ptr_in[1]),
35907
	.datac(vcc),
35908
	.datad(vcc),
35909
	.aclr(gnd),
35910
	.aload(gnd),
35911
	.clk(gnd),
35912
	.cin(\Add0|adder|result_node|cout [0]),
35913
	.cascin(vcc),
35914
	.devclrn(devclrn),
35915
	.devpor(devpor),
35916
	.combout(\Add0|adder|result_node|cs_buffer [1]),
35917
	.regout(),
35918
	.cout(\Add0|adder|result_node|cout [1]),
35919
	.cascout());
35920
// synopsys translate_off
35921
defparam \Add0|adder|result_node|cs_buffer[1]~I .cin_used = "true";
35922
defparam \Add0|adder|result_node|cs_buffer[1]~I .clock_enable_mode = "false";
35923
defparam \Add0|adder|result_node|cs_buffer[1]~I .lut_mask = "3cc0";
35924
defparam \Add0|adder|result_node|cs_buffer[1]~I .operation_mode = "arithmetic";
35925
defparam \Add0|adder|result_node|cs_buffer[1]~I .output_mode = "comb_only";
35926
defparam \Add0|adder|result_node|cs_buffer[1]~I .packed_mode = "false";
35927
// synopsys translate_on
35928
 
35929
// atom is at LC6_J5
35930
flex10ke_lcell \Add0|adder|result_node|cs_buffer[2]~I (
35931
// Equation(s):
35932
// \Add0|adder|result_node|cs_buffer [2] = ptr_in[2] $ \Add0|adder|result_node|cout [1]
35933
// \Add0|adder|result_node|cout [2] = CARRY(ptr_in[2] & \Add0|adder|result_node|cout [1])
35934
 
35935
	.dataa(vcc),
35936
	.datab(ptr_in[2]),
35937
	.datac(vcc),
35938
	.datad(vcc),
35939
	.aclr(gnd),
35940
	.aload(gnd),
35941
	.clk(gnd),
35942
	.cin(\Add0|adder|result_node|cout [1]),
35943
	.cascin(vcc),
35944
	.devclrn(devclrn),
35945
	.devpor(devpor),
35946
	.combout(\Add0|adder|result_node|cs_buffer [2]),
35947
	.regout(),
35948
	.cout(\Add0|adder|result_node|cout [2]),
35949
	.cascout());
35950
// synopsys translate_off
35951
defparam \Add0|adder|result_node|cs_buffer[2]~I .cin_used = "true";
35952
defparam \Add0|adder|result_node|cs_buffer[2]~I .clock_enable_mode = "false";
35953
defparam \Add0|adder|result_node|cs_buffer[2]~I .lut_mask = "3cc0";
35954
defparam \Add0|adder|result_node|cs_buffer[2]~I .operation_mode = "arithmetic";
35955
defparam \Add0|adder|result_node|cs_buffer[2]~I .output_mode = "comb_only";
35956
defparam \Add0|adder|result_node|cs_buffer[2]~I .packed_mode = "false";
35957
// synopsys translate_on
35958
 
35959
// atom is at LC7_J5
35960
flex10ke_lcell \Add0|adder|result_node|cs_buffer[3]~I (
35961
// Equation(s):
35962
// \Add0|adder|result_node|cs_buffer [3] = ptr_in[3] $ \Add0|adder|result_node|cout [2]
35963
// \Add0|adder|result_node|cout [3] = CARRY(ptr_in[3] & \Add0|adder|result_node|cout [2])
35964
 
35965
	.dataa(vcc),
35966
	.datab(ptr_in[3]),
35967
	.datac(vcc),
35968
	.datad(vcc),
35969
	.aclr(gnd),
35970
	.aload(gnd),
35971
	.clk(gnd),
35972
	.cin(\Add0|adder|result_node|cout [2]),
35973
	.cascin(vcc),
35974
	.devclrn(devclrn),
35975
	.devpor(devpor),
35976
	.combout(\Add0|adder|result_node|cs_buffer [3]),
35977
	.regout(),
35978
	.cout(\Add0|adder|result_node|cout [3]),
35979
	.cascout());
35980
// synopsys translate_off
35981
defparam \Add0|adder|result_node|cs_buffer[3]~I .cin_used = "true";
35982
defparam \Add0|adder|result_node|cs_buffer[3]~I .clock_enable_mode = "false";
35983
defparam \Add0|adder|result_node|cs_buffer[3]~I .lut_mask = "3cc0";
35984
defparam \Add0|adder|result_node|cs_buffer[3]~I .operation_mode = "arithmetic";
35985
defparam \Add0|adder|result_node|cs_buffer[3]~I .output_mode = "comb_only";
35986
defparam \Add0|adder|result_node|cs_buffer[3]~I .packed_mode = "false";
35987
// synopsys translate_on
35988
 
35989
// atom is at LC8_J5
35990
flex10ke_lcell \Add0|adder|result_node|cs_buffer[4]~I (
35991
// Equation(s):
35992
// \Add0|adder|result_node|cs_buffer [4] = ptr_in[4] $ \Add0|adder|result_node|cout [3]
35993
// \Add0|adder|result_node|cout [4] = CARRY(ptr_in[4] & \Add0|adder|result_node|cout [3])
35994
 
35995
	.dataa(vcc),
35996
	.datab(ptr_in[4]),
35997
	.datac(vcc),
35998
	.datad(vcc),
35999
	.aclr(gnd),
36000
	.aload(gnd),
36001
	.clk(gnd),
36002
	.cin(\Add0|adder|result_node|cout [3]),
36003
	.cascin(vcc),
36004
	.devclrn(devclrn),
36005
	.devpor(devpor),
36006
	.combout(\Add0|adder|result_node|cs_buffer [4]),
36007
	.regout(),
36008
	.cout(\Add0|adder|result_node|cout [4]),
36009
	.cascout());
36010
// synopsys translate_off
36011
defparam \Add0|adder|result_node|cs_buffer[4]~I .cin_used = "true";
36012
defparam \Add0|adder|result_node|cs_buffer[4]~I .clock_enable_mode = "false";
36013
defparam \Add0|adder|result_node|cs_buffer[4]~I .lut_mask = "3cc0";
36014
defparam \Add0|adder|result_node|cs_buffer[4]~I .operation_mode = "arithmetic";
36015
defparam \Add0|adder|result_node|cs_buffer[4]~I .output_mode = "comb_only";
36016
defparam \Add0|adder|result_node|cs_buffer[4]~I .packed_mode = "false";
36017
// synopsys translate_on
36018
 
36019
// atom is at LC5_J12
36020
flex10ke_lcell \ptr_in[5]~495_I (
36021
// Equation(s):
36022
// \ptr_in[5]~495  = line_start # !ptr_in[8] # !ptr_in[9]
36023
 
36024
	.dataa(vcc),
36025
	.datab(ptr_in[9]),
36026
	.datac(ptr_in[8]),
36027
	.datad(line_start),
36028
	.aclr(gnd),
36029
	.aload(gnd),
36030
	.clk(gnd),
36031
	.cin(gnd),
36032
	.cascin(vcc),
36033
	.devclrn(devclrn),
36034
	.devpor(devpor),
36035
	.combout(\ptr_in[5]~495 ),
36036
	.regout(),
36037
	.cout(),
36038
	.cascout());
36039
// synopsys translate_off
36040
defparam \ptr_in[5]~495_I .clock_enable_mode = "false";
36041
defparam \ptr_in[5]~495_I .lut_mask = "ff3f";
36042
defparam \ptr_in[5]~495_I .operation_mode = "normal";
36043
defparam \ptr_in[5]~495_I .output_mode = "comb_only";
36044
defparam \ptr_in[5]~495_I .packed_mode = "false";
36045
// synopsys translate_on
36046
 
36047
// atom is at LC1_J7
36048
flex10ke_lcell \Add0|adder|result_node|cs_buffer[5]~I (
36049
// Equation(s):
36050
// \Add0|adder|result_node|cs_buffer [5] = ptr_in[5] $ \Add0|adder|result_node|cout [4]
36051
// \Add0|adder|result_node|cout [5] = CARRY(ptr_in[5] & \Add0|adder|result_node|cout [4])
36052
 
36053
	.dataa(vcc),
36054
	.datab(ptr_in[5]),
36055
	.datac(vcc),
36056
	.datad(vcc),
36057
	.aclr(gnd),
36058
	.aload(gnd),
36059
	.clk(gnd),
36060
	.cin(\Add0|adder|result_node|cout [4]),
36061
	.cascin(vcc),
36062
	.devclrn(devclrn),
36063
	.devpor(devpor),
36064
	.combout(\Add0|adder|result_node|cs_buffer [5]),
36065
	.regout(),
36066
	.cout(\Add0|adder|result_node|cout [5]),
36067
	.cascout());
36068
// synopsys translate_off
36069
defparam \Add0|adder|result_node|cs_buffer[5]~I .cin_used = "true";
36070
defparam \Add0|adder|result_node|cs_buffer[5]~I .clock_enable_mode = "false";
36071
defparam \Add0|adder|result_node|cs_buffer[5]~I .lut_mask = "3cc0";
36072
defparam \Add0|adder|result_node|cs_buffer[5]~I .operation_mode = "arithmetic";
36073
defparam \Add0|adder|result_node|cs_buffer[5]~I .output_mode = "comb_only";
36074
defparam \Add0|adder|result_node|cs_buffer[5]~I .packed_mode = "false";
36075
// synopsys translate_on
36076
 
36077
// atom is at LC2_J7
36078
flex10ke_lcell \Add0|adder|result_node|cs_buffer[6]~I (
36079
// Equation(s):
36080
// \Add0|adder|result_node|cs_buffer [6] = ptr_in[6] $ \Add0|adder|result_node|cout [5]
36081
// \Add0|adder|result_node|cout [6] = CARRY(ptr_in[6] & \Add0|adder|result_node|cout [5])
36082
 
36083
	.dataa(vcc),
36084
	.datab(ptr_in[6]),
36085
	.datac(vcc),
36086
	.datad(vcc),
36087
	.aclr(gnd),
36088
	.aload(gnd),
36089
	.clk(gnd),
36090
	.cin(\Add0|adder|result_node|cout [5]),
36091
	.cascin(vcc),
36092
	.devclrn(devclrn),
36093
	.devpor(devpor),
36094
	.combout(\Add0|adder|result_node|cs_buffer [6]),
36095
	.regout(),
36096
	.cout(\Add0|adder|result_node|cout [6]),
36097
	.cascout());
36098
// synopsys translate_off
36099
defparam \Add0|adder|result_node|cs_buffer[6]~I .cin_used = "true";
36100
defparam \Add0|adder|result_node|cs_buffer[6]~I .clock_enable_mode = "false";
36101
defparam \Add0|adder|result_node|cs_buffer[6]~I .lut_mask = "3cc0";
36102
defparam \Add0|adder|result_node|cs_buffer[6]~I .operation_mode = "arithmetic";
36103
defparam \Add0|adder|result_node|cs_buffer[6]~I .output_mode = "comb_only";
36104
defparam \Add0|adder|result_node|cs_buffer[6]~I .packed_mode = "false";
36105
// synopsys translate_on
36106
 
36107
// atom is at LC3_J7
36108
flex10ke_lcell \Add0|adder|result_node|cs_buffer[7]~I (
36109
// Equation(s):
36110
// \Add0|adder|result_node|cs_buffer [7] = ptr_in[7] $ \Add0|adder|result_node|cout [6]
36111
// \Add0|adder|result_node|cout [7] = CARRY(ptr_in[7] & \Add0|adder|result_node|cout [6])
36112
 
36113
	.dataa(vcc),
36114
	.datab(ptr_in[7]),
36115
	.datac(vcc),
36116
	.datad(vcc),
36117
	.aclr(gnd),
36118
	.aload(gnd),
36119
	.clk(gnd),
36120
	.cin(\Add0|adder|result_node|cout [6]),
36121
	.cascin(vcc),
36122
	.devclrn(devclrn),
36123
	.devpor(devpor),
36124
	.combout(\Add0|adder|result_node|cs_buffer [7]),
36125
	.regout(),
36126
	.cout(\Add0|adder|result_node|cout [7]),
36127
	.cascout());
36128
// synopsys translate_off
36129
defparam \Add0|adder|result_node|cs_buffer[7]~I .cin_used = "true";
36130
defparam \Add0|adder|result_node|cs_buffer[7]~I .clock_enable_mode = "false";
36131
defparam \Add0|adder|result_node|cs_buffer[7]~I .lut_mask = "3cc0";
36132
defparam \Add0|adder|result_node|cs_buffer[7]~I .operation_mode = "arithmetic";
36133
defparam \Add0|adder|result_node|cs_buffer[7]~I .output_mode = "comb_only";
36134
defparam \Add0|adder|result_node|cs_buffer[7]~I .packed_mode = "false";
36135
// synopsys translate_on
36136
 
36137
// atom is at LC4_J7
36138
flex10ke_lcell \Add0|adder|result_node|cs_buffer[8]~I (
36139
// Equation(s):
36140
// \Add0|adder|result_node|cs_buffer [8] = ptr_in[8] $ \Add0|adder|result_node|cout [7]
36141
// \Add0|adder|result_node|cout [8] = CARRY(ptr_in[8] & \Add0|adder|result_node|cout [7])
36142
 
36143
	.dataa(vcc),
36144
	.datab(ptr_in[8]),
36145
	.datac(vcc),
36146
	.datad(vcc),
36147
	.aclr(gnd),
36148
	.aload(gnd),
36149
	.clk(gnd),
36150
	.cin(\Add0|adder|result_node|cout [7]),
36151
	.cascin(vcc),
36152
	.devclrn(devclrn),
36153
	.devpor(devpor),
36154
	.combout(\Add0|adder|result_node|cs_buffer [8]),
36155
	.regout(),
36156
	.cout(\Add0|adder|result_node|cout [8]),
36157
	.cascout());
36158
// synopsys translate_off
36159
defparam \Add0|adder|result_node|cs_buffer[8]~I .cin_used = "true";
36160
defparam \Add0|adder|result_node|cs_buffer[8]~I .clock_enable_mode = "false";
36161
defparam \Add0|adder|result_node|cs_buffer[8]~I .lut_mask = "3cc0";
36162
defparam \Add0|adder|result_node|cs_buffer[8]~I .operation_mode = "arithmetic";
36163
defparam \Add0|adder|result_node|cs_buffer[8]~I .output_mode = "comb_only";
36164
defparam \Add0|adder|result_node|cs_buffer[8]~I .packed_mode = "false";
36165
// synopsys translate_on
36166
 
36167
// atom is at LC4_C3
36168
flex10ke_lcell \Add1|adder|result_node|cs_buffer[0]~I (
36169
// Equation(s):
36170
// \Add1|adder|result_node|cs_buffer [0] = ptr_out[0] $ \Equal1~11 
36171
// \Add1|adder|result_node|cout [0] = CARRY(ptr_out[0] & !\Equal1~11 )
36172
 
36173
	.dataa(ptr_out[0]),
36174
	.datab(\Equal1~11 ),
36175
	.datac(vcc),
36176
	.datad(vcc),
36177
	.aclr(gnd),
36178
	.aload(gnd),
36179
	.clk(gnd),
36180
	.cin(gnd),
36181
	.cascin(vcc),
36182
	.devclrn(devclrn),
36183
	.devpor(devpor),
36184
	.combout(\Add1|adder|result_node|cs_buffer [0]),
36185
	.regout(),
36186
	.cout(\Add1|adder|result_node|cout [0]),
36187
	.cascout());
36188
// synopsys translate_off
36189
defparam \Add1|adder|result_node|cs_buffer[0]~I .clock_enable_mode = "false";
36190
defparam \Add1|adder|result_node|cs_buffer[0]~I .lut_mask = "6622";
36191
defparam \Add1|adder|result_node|cs_buffer[0]~I .operation_mode = "arithmetic";
36192
defparam \Add1|adder|result_node|cs_buffer[0]~I .output_mode = "comb_only";
36193
defparam \Add1|adder|result_node|cs_buffer[0]~I .packed_mode = "false";
36194
// synopsys translate_on
36195
 
36196
// atom is at LC5_J7
36197
flex10ke_lcell \Add0|adder|unreg_res_node[9]~I (
36198
// Equation(s):
36199
// \Add0|adder|unreg_res_node [9] = \Add0|adder|result_node|cout [8] $ ptr_in[9]
36200
 
36201
	.dataa(vcc),
36202
	.datab(vcc),
36203
	.datac(vcc),
36204
	.datad(ptr_in[9]),
36205
	.aclr(gnd),
36206
	.aload(gnd),
36207
	.clk(gnd),
36208
	.cin(\Add0|adder|result_node|cout [8]),
36209
	.cascin(vcc),
36210
	.devclrn(devclrn),
36211
	.devpor(devpor),
36212
	.combout(\Add0|adder|unreg_res_node [9]),
36213
	.regout(),
36214
	.cout(),
36215
	.cascout());
36216
// synopsys translate_off
36217
defparam \Add0|adder|unreg_res_node[9]~I .cin_used = "true";
36218
defparam \Add0|adder|unreg_res_node[9]~I .clock_enable_mode = "false";
36219
defparam \Add0|adder|unreg_res_node[9]~I .lut_mask = "0ff0";
36220
defparam \Add0|adder|unreg_res_node[9]~I .operation_mode = "normal";
36221
defparam \Add0|adder|unreg_res_node[9]~I .output_mode = "comb_only";
36222
defparam \Add0|adder|unreg_res_node[9]~I .packed_mode = "false";
36223
// synopsys translate_on
36224
 
36225
// atom is at LC1_C17
36226
flex10ke_lcell \ptr_out[0]~276_I (
36227
// Equation(s):
36228
// \ptr_out[0]~276  = !scanout_start
36229
 
36230
	.dataa(vcc),
36231
	.datab(vcc),
36232
	.datac(vcc),
36233
	.datad(scanout_start),
36234
	.aclr(gnd),
36235
	.aload(gnd),
36236
	.clk(gnd),
36237
	.cin(gnd),
36238
	.cascin(vcc),
36239
	.devclrn(devclrn),
36240
	.devpor(devpor),
36241
	.combout(\ptr_out[0]~276 ),
36242
	.regout(),
36243
	.cout(),
36244
	.cascout());
36245
// synopsys translate_off
36246
defparam \ptr_out[0]~276_I .clock_enable_mode = "false";
36247
defparam \ptr_out[0]~276_I .lut_mask = "00ff";
36248
defparam \ptr_out[0]~276_I .operation_mode = "normal";
36249
defparam \ptr_out[0]~276_I .output_mode = "comb_only";
36250
defparam \ptr_out[0]~276_I .packed_mode = "false";
36251
// synopsys translate_on
36252
 
36253
// atom is at LC2_F2
36254
flex10ke_lcell \pix_out[4]~I (
36255
// Equation(s):
36256
// pix_out_4 = DFFEA(q_4 & (!ptr_out[8] # !ptr_out[9]), GLOBAL(\fclk~dataout ), , , , , )
36257
 
36258
	.dataa(vcc),
36259
	.datab(ptr_out[9]),
36260
	.datac(ptr_out[8]),
36261
	.datad(\line_buf|mem_rtl_12|q [4]),
36262
	.aclr(gnd),
36263
	.aload(gnd),
36264
	.clk(fclk),
36265
	.cin(gnd),
36266
	.cascin(vcc),
36267
	.devclrn(devclrn),
36268
	.devpor(devpor),
36269
	.combout(),
36270
	.regout(pix_out_4),
36271
	.cout(),
36272
	.cascout());
36273
// synopsys translate_off
36274
defparam \pix_out[4]~I .clock_enable_mode = "false";
36275
defparam \pix_out[4]~I .lut_mask = "3f00";
36276
defparam \pix_out[4]~I .operation_mode = "normal";
36277
defparam \pix_out[4]~I .output_mode = "reg_only";
36278
defparam \pix_out[4]~I .packed_mode = "false";
36279
// synopsys translate_on
36280
 
36281
// atom is at LC3_F2
36282
flex10ke_lcell \pix_out[5]~I (
36283
// Equation(s):
36284
// pix_out_5 = DFFEA(q_5 & (!ptr_out[8] # !ptr_out[9]), GLOBAL(\fclk~dataout ), , , , , )
36285
 
36286
	.dataa(vcc),
36287
	.datab(ptr_out[9]),
36288
	.datac(ptr_out[8]),
36289
	.datad(\line_buf|mem_rtl_12|q [5]),
36290
	.aclr(gnd),
36291
	.aload(gnd),
36292
	.clk(fclk),
36293
	.cin(gnd),
36294
	.cascin(vcc),
36295
	.devclrn(devclrn),
36296
	.devpor(devpor),
36297
	.combout(),
36298
	.regout(pix_out_5),
36299
	.cout(),
36300
	.cascout());
36301
// synopsys translate_off
36302
defparam \pix_out[5]~I .clock_enable_mode = "false";
36303
defparam \pix_out[5]~I .lut_mask = "3f00";
36304
defparam \pix_out[5]~I .operation_mode = "normal";
36305
defparam \pix_out[5]~I .output_mode = "reg_only";
36306
defparam \pix_out[5]~I .packed_mode = "false";
36307
// synopsys translate_on
36308
 
36309
// atom is at LC1_F4
36310
flex10ke_lcell \pix_out[2]~I (
36311
// Equation(s):
36312
// pix_out_2 = DFFEA(q_2 & (!ptr_out[8] # !ptr_out[9]), GLOBAL(\fclk~dataout ), , , , , )
36313
 
36314
	.dataa(vcc),
36315
	.datab(ptr_out[9]),
36316
	.datac(ptr_out[8]),
36317
	.datad(\line_buf|mem_rtl_12|q [2]),
36318
	.aclr(gnd),
36319
	.aload(gnd),
36320
	.clk(fclk),
36321
	.cin(gnd),
36322
	.cascin(vcc),
36323
	.devclrn(devclrn),
36324
	.devpor(devpor),
36325
	.combout(),
36326
	.regout(pix_out_2),
36327
	.cout(),
36328
	.cascout());
36329
// synopsys translate_off
36330
defparam \pix_out[2]~I .clock_enable_mode = "false";
36331
defparam \pix_out[2]~I .lut_mask = "3f00";
36332
defparam \pix_out[2]~I .operation_mode = "normal";
36333
defparam \pix_out[2]~I .output_mode = "reg_only";
36334
defparam \pix_out[2]~I .packed_mode = "false";
36335
// synopsys translate_on
36336
 
36337
// atom is at LC2_F10
36338
flex10ke_lcell \pix_out[3]~I (
36339
// Equation(s):
36340
// pix_out_3 = DFFEA(q_3 & (!ptr_out[8] # !ptr_out[9]), GLOBAL(\fclk~dataout ), , , , , )
36341
 
36342
	.dataa(vcc),
36343
	.datab(ptr_out[9]),
36344
	.datac(ptr_out[8]),
36345
	.datad(\line_buf|mem_rtl_12|q [3]),
36346
	.aclr(gnd),
36347
	.aload(gnd),
36348
	.clk(fclk),
36349
	.cin(gnd),
36350
	.cascin(vcc),
36351
	.devclrn(devclrn),
36352
	.devpor(devpor),
36353
	.combout(),
36354
	.regout(pix_out_3),
36355
	.cout(),
36356
	.cascout());
36357
// synopsys translate_off
36358
defparam \pix_out[3]~I .clock_enable_mode = "false";
36359
defparam \pix_out[3]~I .lut_mask = "3f00";
36360
defparam \pix_out[3]~I .operation_mode = "normal";
36361
defparam \pix_out[3]~I .output_mode = "reg_only";
36362
defparam \pix_out[3]~I .packed_mode = "false";
36363
// synopsys translate_on
36364
 
36365
// atom is at LC2_F1
36366
flex10ke_lcell \pix_out[0]~I (
36367
// Equation(s):
36368
// pix_out_0 = DFFEA(q_0 & (!ptr_out[8] # !ptr_out[9]), GLOBAL(\fclk~dataout ), , , , , )
36369
 
36370
	.dataa(vcc),
36371
	.datab(ptr_out[9]),
36372
	.datac(ptr_out[8]),
36373
	.datad(\line_buf|mem_rtl_12|q [0]),
36374
	.aclr(gnd),
36375
	.aload(gnd),
36376
	.clk(fclk),
36377
	.cin(gnd),
36378
	.cascin(vcc),
36379
	.devclrn(devclrn),
36380
	.devpor(devpor),
36381
	.combout(),
36382
	.regout(pix_out_0),
36383
	.cout(),
36384
	.cascout());
36385
// synopsys translate_off
36386
defparam \pix_out[0]~I .clock_enable_mode = "false";
36387
defparam \pix_out[0]~I .lut_mask = "3f00";
36388
defparam \pix_out[0]~I .operation_mode = "normal";
36389
defparam \pix_out[0]~I .output_mode = "reg_only";
36390
defparam \pix_out[0]~I .packed_mode = "false";
36391
// synopsys translate_on
36392
 
36393
// atom is at LC5_F10
36394
flex10ke_lcell \pix_out[1]~I (
36395
// Equation(s):
36396
// pix_out_1 = DFFEA(q_1 & (!ptr_out[8] # !ptr_out[9]), GLOBAL(\fclk~dataout ), , , , , )
36397
 
36398
	.dataa(vcc),
36399
	.datab(ptr_out[9]),
36400
	.datac(ptr_out[8]),
36401
	.datad(\line_buf|mem_rtl_12|q [1]),
36402
	.aclr(gnd),
36403
	.aload(gnd),
36404
	.clk(fclk),
36405
	.cin(gnd),
36406
	.cascin(vcc),
36407
	.devclrn(devclrn),
36408
	.devpor(devpor),
36409
	.combout(),
36410
	.regout(pix_out_1),
36411
	.cout(),
36412
	.cascout());
36413
// synopsys translate_off
36414
defparam \pix_out[1]~I .clock_enable_mode = "false";
36415
defparam \pix_out[1]~I .lut_mask = "3f00";
36416
defparam \pix_out[1]~I .operation_mode = "normal";
36417
defparam \pix_out[1]~I .output_mode = "reg_only";
36418
defparam \pix_out[1]~I .packed_mode = "false";
36419
// synopsys translate_on
36420
 
36421
// atom is at LC1_F10
36422
flex10ke_lcell \Equal1~11_I (
36423
// Equation(s):
36424
// \Equal1~11  = ptr_out[9] & ptr_out[8]
36425
 
36426
	.dataa(vcc),
36427
	.datab(vcc),
36428
	.datac(ptr_out[9]),
36429
	.datad(ptr_out[8]),
36430
	.aclr(gnd),
36431
	.aload(gnd),
36432
	.clk(gnd),
36433
	.cin(gnd),
36434
	.cascin(vcc),
36435
	.devclrn(devclrn),
36436
	.devpor(devpor),
36437
	.combout(\Equal1~11 ),
36438
	.regout(),
36439
	.cout(),
36440
	.cascout());
36441
// synopsys translate_off
36442
defparam \Equal1~11_I .clock_enable_mode = "false";
36443
defparam \Equal1~11_I .lut_mask = "f000";
36444
defparam \Equal1~11_I .operation_mode = "normal";
36445
defparam \Equal1~11_I .output_mode = "comb_only";
36446
defparam \Equal1~11_I .packed_mode = "false";
36447
// synopsys translate_on
36448
 
36449
// atom is at LC5_C3
36450
flex10ke_lcell \Add1|adder|result_node|cs_buffer[1]~I (
36451
// Equation(s):
36452
// \Add1|adder|result_node|cs_buffer [1] = ptr_out[1] $ \Add1|adder|result_node|cout [0]
36453
// \Add1|adder|result_node|cout [1] = CARRY(ptr_out[1] & \Add1|adder|result_node|cout [0])
36454
 
36455
	.dataa(vcc),
36456
	.datab(ptr_out[1]),
36457
	.datac(vcc),
36458
	.datad(vcc),
36459
	.aclr(gnd),
36460
	.aload(gnd),
36461
	.clk(gnd),
36462
	.cin(\Add1|adder|result_node|cout [0]),
36463
	.cascin(vcc),
36464
	.devclrn(devclrn),
36465
	.devpor(devpor),
36466
	.combout(\Add1|adder|result_node|cs_buffer [1]),
36467
	.regout(),
36468
	.cout(\Add1|adder|result_node|cout [1]),
36469
	.cascout());
36470
// synopsys translate_off
36471
defparam \Add1|adder|result_node|cs_buffer[1]~I .cin_used = "true";
36472
defparam \Add1|adder|result_node|cs_buffer[1]~I .clock_enable_mode = "false";
36473
defparam \Add1|adder|result_node|cs_buffer[1]~I .lut_mask = "3cc0";
36474
defparam \Add1|adder|result_node|cs_buffer[1]~I .operation_mode = "arithmetic";
36475
defparam \Add1|adder|result_node|cs_buffer[1]~I .output_mode = "comb_only";
36476
defparam \Add1|adder|result_node|cs_buffer[1]~I .packed_mode = "false";
36477
// synopsys translate_on
36478
 
36479
// atom is at LC2_C17
36480
flex10ke_lcell \ptr_out[1]~I (
36481
// Equation(s):
36482
// ptr_out[1] = DFFEA(\Add1|adder|result_node|cs_buffer [1], GLOBAL(\fclk~dataout ), , , \ptr_out[0]~276 , , )
36483
 
36484
	.dataa(\ptr_out[0]~276 ),
36485
	.datab(vcc),
36486
	.datac(vcc),
36487
	.datad(\Add1|adder|result_node|cs_buffer [1]),
36488
	.aclr(gnd),
36489
	.aload(gnd),
36490
	.clk(fclk),
36491
	.cin(gnd),
36492
	.cascin(vcc),
36493
	.devclrn(devclrn),
36494
	.devpor(devpor),
36495
	.combout(),
36496
	.regout(ptr_out[1]),
36497
	.cout(),
36498
	.cascout());
36499
// synopsys translate_off
36500
defparam \ptr_out[1]~I .clock_enable_mode = "true";
36501
defparam \ptr_out[1]~I .lut_mask = "ff00";
36502
defparam \ptr_out[1]~I .operation_mode = "normal";
36503
defparam \ptr_out[1]~I .output_mode = "reg_only";
36504
defparam \ptr_out[1]~I .packed_mode = "false";
36505
// synopsys translate_on
36506
 
36507
// atom is at LC6_C3
36508
flex10ke_lcell \Add1|adder|result_node|cs_buffer[2]~I (
36509
// Equation(s):
36510
// \Add1|adder|result_node|cs_buffer [2] = ptr_out[2] $ \Add1|adder|result_node|cout [1]
36511
// \Add1|adder|result_node|cout [2] = CARRY(ptr_out[2] & \Add1|adder|result_node|cout [1])
36512
 
36513
	.dataa(vcc),
36514
	.datab(ptr_out[2]),
36515
	.datac(vcc),
36516
	.datad(vcc),
36517
	.aclr(gnd),
36518
	.aload(gnd),
36519
	.clk(gnd),
36520
	.cin(\Add1|adder|result_node|cout [1]),
36521
	.cascin(vcc),
36522
	.devclrn(devclrn),
36523
	.devpor(devpor),
36524
	.combout(\Add1|adder|result_node|cs_buffer [2]),
36525
	.regout(),
36526
	.cout(\Add1|adder|result_node|cout [2]),
36527
	.cascout());
36528
// synopsys translate_off
36529
defparam \Add1|adder|result_node|cs_buffer[2]~I .cin_used = "true";
36530
defparam \Add1|adder|result_node|cs_buffer[2]~I .clock_enable_mode = "false";
36531
defparam \Add1|adder|result_node|cs_buffer[2]~I .lut_mask = "3cc0";
36532
defparam \Add1|adder|result_node|cs_buffer[2]~I .operation_mode = "arithmetic";
36533
defparam \Add1|adder|result_node|cs_buffer[2]~I .output_mode = "comb_only";
36534
defparam \Add1|adder|result_node|cs_buffer[2]~I .packed_mode = "false";
36535
// synopsys translate_on
36536
 
36537
// atom is at LC2_C3
36538
flex10ke_lcell \ptr_out[2]~I (
36539
// Equation(s):
36540
// ptr_out[2] = DFFEA(\Add1|adder|result_node|cs_buffer [2], GLOBAL(\fclk~dataout ), , , \ptr_out[0]~276 , , )
36541
 
36542
	.dataa(\ptr_out[0]~276 ),
36543
	.datab(vcc),
36544
	.datac(vcc),
36545
	.datad(\Add1|adder|result_node|cs_buffer [2]),
36546
	.aclr(gnd),
36547
	.aload(gnd),
36548
	.clk(fclk),
36549
	.cin(gnd),
36550
	.cascin(vcc),
36551
	.devclrn(devclrn),
36552
	.devpor(devpor),
36553
	.combout(),
36554
	.regout(ptr_out[2]),
36555
	.cout(),
36556
	.cascout());
36557
// synopsys translate_off
36558
defparam \ptr_out[2]~I .clock_enable_mode = "true";
36559
defparam \ptr_out[2]~I .lut_mask = "ff00";
36560
defparam \ptr_out[2]~I .operation_mode = "normal";
36561
defparam \ptr_out[2]~I .output_mode = "reg_only";
36562
defparam \ptr_out[2]~I .packed_mode = "false";
36563
// synopsys translate_on
36564
 
36565
// atom is at LC7_C3
36566
flex10ke_lcell \Add1|adder|result_node|cs_buffer[3]~I (
36567
// Equation(s):
36568
// \Add1|adder|result_node|cs_buffer [3] = ptr_out[3] $ \Add1|adder|result_node|cout [2]
36569
// \Add1|adder|result_node|cout [3] = CARRY(ptr_out[3] & \Add1|adder|result_node|cout [2])
36570
 
36571
	.dataa(vcc),
36572
	.datab(ptr_out[3]),
36573
	.datac(vcc),
36574
	.datad(vcc),
36575
	.aclr(gnd),
36576
	.aload(gnd),
36577
	.clk(gnd),
36578
	.cin(\Add1|adder|result_node|cout [2]),
36579
	.cascin(vcc),
36580
	.devclrn(devclrn),
36581
	.devpor(devpor),
36582
	.combout(\Add1|adder|result_node|cs_buffer [3]),
36583
	.regout(),
36584
	.cout(\Add1|adder|result_node|cout [3]),
36585
	.cascout());
36586
// synopsys translate_off
36587
defparam \Add1|adder|result_node|cs_buffer[3]~I .cin_used = "true";
36588
defparam \Add1|adder|result_node|cs_buffer[3]~I .clock_enable_mode = "false";
36589
defparam \Add1|adder|result_node|cs_buffer[3]~I .lut_mask = "3cc0";
36590
defparam \Add1|adder|result_node|cs_buffer[3]~I .operation_mode = "arithmetic";
36591
defparam \Add1|adder|result_node|cs_buffer[3]~I .output_mode = "comb_only";
36592
defparam \Add1|adder|result_node|cs_buffer[3]~I .packed_mode = "false";
36593
// synopsys translate_on
36594
 
36595
// atom is at LC3_C3
36596
flex10ke_lcell \ptr_out[3]~I (
36597
// Equation(s):
36598
// ptr_out[3] = DFFEA(\Add1|adder|result_node|cs_buffer [3], GLOBAL(\fclk~dataout ), , , \ptr_out[0]~276 , , )
36599
 
36600
	.dataa(\ptr_out[0]~276 ),
36601
	.datab(vcc),
36602
	.datac(vcc),
36603
	.datad(\Add1|adder|result_node|cs_buffer [3]),
36604
	.aclr(gnd),
36605
	.aload(gnd),
36606
	.clk(fclk),
36607
	.cin(gnd),
36608
	.cascin(vcc),
36609
	.devclrn(devclrn),
36610
	.devpor(devpor),
36611
	.combout(),
36612
	.regout(ptr_out[3]),
36613
	.cout(),
36614
	.cascout());
36615
// synopsys translate_off
36616
defparam \ptr_out[3]~I .clock_enable_mode = "true";
36617
defparam \ptr_out[3]~I .lut_mask = "ff00";
36618
defparam \ptr_out[3]~I .operation_mode = "normal";
36619
defparam \ptr_out[3]~I .output_mode = "reg_only";
36620
defparam \ptr_out[3]~I .packed_mode = "false";
36621
// synopsys translate_on
36622
 
36623
// atom is at LC8_C3
36624
flex10ke_lcell \Add1|adder|result_node|cs_buffer[4]~I (
36625
// Equation(s):
36626
// \Add1|adder|result_node|cs_buffer [4] = ptr_out[4] $ \Add1|adder|result_node|cout [3]
36627
// \Add1|adder|result_node|cout [4] = CARRY(ptr_out[4] & \Add1|adder|result_node|cout [3])
36628
 
36629
	.dataa(vcc),
36630
	.datab(ptr_out[4]),
36631
	.datac(vcc),
36632
	.datad(vcc),
36633
	.aclr(gnd),
36634
	.aload(gnd),
36635
	.clk(gnd),
36636
	.cin(\Add1|adder|result_node|cout [3]),
36637
	.cascin(vcc),
36638
	.devclrn(devclrn),
36639
	.devpor(devpor),
36640
	.combout(\Add1|adder|result_node|cs_buffer [4]),
36641
	.regout(),
36642
	.cout(\Add1|adder|result_node|cout [4]),
36643
	.cascout());
36644
// synopsys translate_off
36645
defparam \Add1|adder|result_node|cs_buffer[4]~I .cin_used = "true";
36646
defparam \Add1|adder|result_node|cs_buffer[4]~I .clock_enable_mode = "false";
36647
defparam \Add1|adder|result_node|cs_buffer[4]~I .lut_mask = "3cc0";
36648
defparam \Add1|adder|result_node|cs_buffer[4]~I .operation_mode = "arithmetic";
36649
defparam \Add1|adder|result_node|cs_buffer[4]~I .output_mode = "comb_only";
36650
defparam \Add1|adder|result_node|cs_buffer[4]~I .packed_mode = "false";
36651
// synopsys translate_on
36652
 
36653
// atom is at LC1_C3
36654
flex10ke_lcell \ptr_out[4]~I (
36655
// Equation(s):
36656
// ptr_out[4] = DFFEA(\Add1|adder|result_node|cs_buffer [4] # scanout_start, GLOBAL(\fclk~dataout ), , , , , )
36657
 
36658
	.dataa(vcc),
36659
	.datab(vcc),
36660
	.datac(\Add1|adder|result_node|cs_buffer [4]),
36661
	.datad(scanout_start),
36662
	.aclr(gnd),
36663
	.aload(gnd),
36664
	.clk(fclk),
36665
	.cin(gnd),
36666
	.cascin(vcc),
36667
	.devclrn(devclrn),
36668
	.devpor(devpor),
36669
	.combout(),
36670
	.regout(ptr_out[4]),
36671
	.cout(),
36672
	.cascout());
36673
// synopsys translate_off
36674
defparam \ptr_out[4]~I .clock_enable_mode = "false";
36675
defparam \ptr_out[4]~I .lut_mask = "fff0";
36676
defparam \ptr_out[4]~I .operation_mode = "normal";
36677
defparam \ptr_out[4]~I .output_mode = "reg_only";
36678
defparam \ptr_out[4]~I .packed_mode = "false";
36679
// synopsys translate_on
36680
 
36681
// atom is at LC1_C5
36682
flex10ke_lcell \Add1|adder|result_node|cs_buffer[5]~I (
36683
// Equation(s):
36684
// \Add1|adder|result_node|cs_buffer [5] = ptr_out[5] $ \Add1|adder|result_node|cout [4]
36685
// \Add1|adder|result_node|cout [5] = CARRY(ptr_out[5] & \Add1|adder|result_node|cout [4])
36686
 
36687
	.dataa(vcc),
36688
	.datab(ptr_out[5]),
36689
	.datac(vcc),
36690
	.datad(vcc),
36691
	.aclr(gnd),
36692
	.aload(gnd),
36693
	.clk(gnd),
36694
	.cin(\Add1|adder|result_node|cout [4]),
36695
	.cascin(vcc),
36696
	.devclrn(devclrn),
36697
	.devpor(devpor),
36698
	.combout(\Add1|adder|result_node|cs_buffer [5]),
36699
	.regout(),
36700
	.cout(\Add1|adder|result_node|cout [5]),
36701
	.cascout());
36702
// synopsys translate_off
36703
defparam \Add1|adder|result_node|cs_buffer[5]~I .cin_used = "true";
36704
defparam \Add1|adder|result_node|cs_buffer[5]~I .clock_enable_mode = "false";
36705
defparam \Add1|adder|result_node|cs_buffer[5]~I .lut_mask = "3cc0";
36706
defparam \Add1|adder|result_node|cs_buffer[5]~I .operation_mode = "arithmetic";
36707
defparam \Add1|adder|result_node|cs_buffer[5]~I .output_mode = "comb_only";
36708
defparam \Add1|adder|result_node|cs_buffer[5]~I .packed_mode = "false";
36709
// synopsys translate_on
36710
 
36711
// atom is at LC7_C5
36712
flex10ke_lcell \ptr_out[5]~I (
36713
// Equation(s):
36714
// ptr_out[5] = DFFEA(\Add1|adder|result_node|cs_buffer [5] # scanout_start, GLOBAL(\fclk~dataout ), , , , , )
36715
 
36716
	.dataa(vcc),
36717
	.datab(vcc),
36718
	.datac(\Add1|adder|result_node|cs_buffer [5]),
36719
	.datad(scanout_start),
36720
	.aclr(gnd),
36721
	.aload(gnd),
36722
	.clk(fclk),
36723
	.cin(gnd),
36724
	.cascin(vcc),
36725
	.devclrn(devclrn),
36726
	.devpor(devpor),
36727
	.combout(),
36728
	.regout(ptr_out[5]),
36729
	.cout(),
36730
	.cascout());
36731
// synopsys translate_off
36732
defparam \ptr_out[5]~I .clock_enable_mode = "false";
36733
defparam \ptr_out[5]~I .lut_mask = "fff0";
36734
defparam \ptr_out[5]~I .operation_mode = "normal";
36735
defparam \ptr_out[5]~I .output_mode = "reg_only";
36736
defparam \ptr_out[5]~I .packed_mode = "false";
36737
// synopsys translate_on
36738
 
36739
// atom is at LC2_C5
36740
flex10ke_lcell \Add1|adder|result_node|cs_buffer[6]~I (
36741
// Equation(s):
36742
// \Add1|adder|result_node|cs_buffer [6] = ptr_out[6] $ \Add1|adder|result_node|cout [5]
36743
// \Add1|adder|result_node|cout [6] = CARRY(ptr_out[6] & \Add1|adder|result_node|cout [5])
36744
 
36745
	.dataa(vcc),
36746
	.datab(ptr_out[6]),
36747
	.datac(vcc),
36748
	.datad(vcc),
36749
	.aclr(gnd),
36750
	.aload(gnd),
36751
	.clk(gnd),
36752
	.cin(\Add1|adder|result_node|cout [5]),
36753
	.cascin(vcc),
36754
	.devclrn(devclrn),
36755
	.devpor(devpor),
36756
	.combout(\Add1|adder|result_node|cs_buffer [6]),
36757
	.regout(),
36758
	.cout(\Add1|adder|result_node|cout [6]),
36759
	.cascout());
36760
// synopsys translate_off
36761
defparam \Add1|adder|result_node|cs_buffer[6]~I .cin_used = "true";
36762
defparam \Add1|adder|result_node|cs_buffer[6]~I .clock_enable_mode = "false";
36763
defparam \Add1|adder|result_node|cs_buffer[6]~I .lut_mask = "3cc0";
36764
defparam \Add1|adder|result_node|cs_buffer[6]~I .operation_mode = "arithmetic";
36765
defparam \Add1|adder|result_node|cs_buffer[6]~I .output_mode = "comb_only";
36766
defparam \Add1|adder|result_node|cs_buffer[6]~I .packed_mode = "false";
36767
// synopsys translate_on
36768
 
36769
// atom is at LC3_C17
36770
flex10ke_lcell \ptr_out[6]~I (
36771
// Equation(s):
36772
// ptr_out[6] = DFFEA(\Add1|adder|result_node|cs_buffer [6], GLOBAL(\fclk~dataout ), , , \ptr_out[0]~276 , , )
36773
 
36774
	.dataa(\ptr_out[0]~276 ),
36775
	.datab(vcc),
36776
	.datac(vcc),
36777
	.datad(\Add1|adder|result_node|cs_buffer [6]),
36778
	.aclr(gnd),
36779
	.aload(gnd),
36780
	.clk(fclk),
36781
	.cin(gnd),
36782
	.cascin(vcc),
36783
	.devclrn(devclrn),
36784
	.devpor(devpor),
36785
	.combout(),
36786
	.regout(ptr_out[6]),
36787
	.cout(),
36788
	.cascout());
36789
// synopsys translate_off
36790
defparam \ptr_out[6]~I .clock_enable_mode = "true";
36791
defparam \ptr_out[6]~I .lut_mask = "ff00";
36792
defparam \ptr_out[6]~I .operation_mode = "normal";
36793
defparam \ptr_out[6]~I .output_mode = "reg_only";
36794
defparam \ptr_out[6]~I .packed_mode = "false";
36795
// synopsys translate_on
36796
 
36797
// atom is at LC3_C5
36798
flex10ke_lcell \Add1|adder|result_node|cs_buffer[7]~I (
36799
// Equation(s):
36800
// \Add1|adder|result_node|cs_buffer [7] = ptr_out[7] $ \Add1|adder|result_node|cout [6]
36801
// \Add1|adder|result_node|cout [7] = CARRY(ptr_out[7] & \Add1|adder|result_node|cout [6])
36802
 
36803
	.dataa(vcc),
36804
	.datab(ptr_out[7]),
36805
	.datac(vcc),
36806
	.datad(vcc),
36807
	.aclr(gnd),
36808
	.aload(gnd),
36809
	.clk(gnd),
36810
	.cin(\Add1|adder|result_node|cout [6]),
36811
	.cascin(vcc),
36812
	.devclrn(devclrn),
36813
	.devpor(devpor),
36814
	.combout(\Add1|adder|result_node|cs_buffer [7]),
36815
	.regout(),
36816
	.cout(\Add1|adder|result_node|cout [7]),
36817
	.cascout());
36818
// synopsys translate_off
36819
defparam \Add1|adder|result_node|cs_buffer[7]~I .cin_used = "true";
36820
defparam \Add1|adder|result_node|cs_buffer[7]~I .clock_enable_mode = "false";
36821
defparam \Add1|adder|result_node|cs_buffer[7]~I .lut_mask = "3cc0";
36822
defparam \Add1|adder|result_node|cs_buffer[7]~I .operation_mode = "arithmetic";
36823
defparam \Add1|adder|result_node|cs_buffer[7]~I .output_mode = "comb_only";
36824
defparam \Add1|adder|result_node|cs_buffer[7]~I .packed_mode = "false";
36825
// synopsys translate_on
36826
 
36827
// atom is at LC6_C17
36828
flex10ke_lcell \ptr_out[7]~I (
36829
// Equation(s):
36830
// ptr_out[7] = DFFEA(\Add1|adder|result_node|cs_buffer [7], GLOBAL(\fclk~dataout ), , , \ptr_out[0]~276 , , )
36831
 
36832
	.dataa(\ptr_out[0]~276 ),
36833
	.datab(vcc),
36834
	.datac(vcc),
36835
	.datad(\Add1|adder|result_node|cs_buffer [7]),
36836
	.aclr(gnd),
36837
	.aload(gnd),
36838
	.clk(fclk),
36839
	.cin(gnd),
36840
	.cascin(vcc),
36841
	.devclrn(devclrn),
36842
	.devpor(devpor),
36843
	.combout(),
36844
	.regout(ptr_out[7]),
36845
	.cout(),
36846
	.cascout());
36847
// synopsys translate_off
36848
defparam \ptr_out[7]~I .clock_enable_mode = "true";
36849
defparam \ptr_out[7]~I .lut_mask = "ff00";
36850
defparam \ptr_out[7]~I .operation_mode = "normal";
36851
defparam \ptr_out[7]~I .output_mode = "reg_only";
36852
defparam \ptr_out[7]~I .packed_mode = "false";
36853
// synopsys translate_on
36854
 
36855
// atom is at LC4_C5
36856
flex10ke_lcell \Add1|adder|result_node|cs_buffer[8]~I (
36857
// Equation(s):
36858
// \Add1|adder|result_node|cs_buffer [8] = ptr_out[8] $ \Add1|adder|result_node|cout [7]
36859
// \Add1|adder|result_node|cout [8] = CARRY(ptr_out[8] & \Add1|adder|result_node|cout [7])
36860
 
36861
	.dataa(vcc),
36862
	.datab(ptr_out[8]),
36863
	.datac(vcc),
36864
	.datad(vcc),
36865
	.aclr(gnd),
36866
	.aload(gnd),
36867
	.clk(gnd),
36868
	.cin(\Add1|adder|result_node|cout [7]),
36869
	.cascin(vcc),
36870
	.devclrn(devclrn),
36871
	.devpor(devpor),
36872
	.combout(\Add1|adder|result_node|cs_buffer [8]),
36873
	.regout(),
36874
	.cout(\Add1|adder|result_node|cout [8]),
36875
	.cascout());
36876
// synopsys translate_off
36877
defparam \Add1|adder|result_node|cs_buffer[8]~I .cin_used = "true";
36878
defparam \Add1|adder|result_node|cs_buffer[8]~I .clock_enable_mode = "false";
36879
defparam \Add1|adder|result_node|cs_buffer[8]~I .lut_mask = "3cc0";
36880
defparam \Add1|adder|result_node|cs_buffer[8]~I .operation_mode = "arithmetic";
36881
defparam \Add1|adder|result_node|cs_buffer[8]~I .output_mode = "comb_only";
36882
defparam \Add1|adder|result_node|cs_buffer[8]~I .packed_mode = "false";
36883
// synopsys translate_on
36884
 
36885
// atom is at LC8_C5
36886
flex10ke_lcell \ptr_out[8]~I (
36887
// Equation(s):
36888
// ptr_out[8] = DFFEA(!scanout_start & \Add1|adder|result_node|cs_buffer [8], GLOBAL(\fclk~dataout ), , , , , )
36889
 
36890
	.dataa(vcc),
36891
	.datab(vcc),
36892
	.datac(scanout_start),
36893
	.datad(\Add1|adder|result_node|cs_buffer [8]),
36894
	.aclr(gnd),
36895
	.aload(gnd),
36896
	.clk(fclk),
36897
	.cin(gnd),
36898
	.cascin(vcc),
36899
	.devclrn(devclrn),
36900
	.devpor(devpor),
36901
	.combout(),
36902
	.regout(ptr_out[8]),
36903
	.cout(),
36904
	.cascout());
36905
// synopsys translate_off
36906
defparam \ptr_out[8]~I .clock_enable_mode = "false";
36907
defparam \ptr_out[8]~I .lut_mask = "0f00";
36908
defparam \ptr_out[8]~I .operation_mode = "normal";
36909
defparam \ptr_out[8]~I .output_mode = "reg_only";
36910
defparam \ptr_out[8]~I .packed_mode = "false";
36911
// synopsys translate_on
36912
 
36913
// atom is at LC5_C5
36914
flex10ke_lcell \Add1|adder|unreg_res_node[9]~I (
36915
// Equation(s):
36916
// \Add1|adder|unreg_res_node [9] = \Add1|adder|result_node|cout [8] $ ptr_out[9]
36917
 
36918
	.dataa(vcc),
36919
	.datab(vcc),
36920
	.datac(vcc),
36921
	.datad(ptr_out[9]),
36922
	.aclr(gnd),
36923
	.aload(gnd),
36924
	.clk(gnd),
36925
	.cin(\Add1|adder|result_node|cout [8]),
36926
	.cascin(vcc),
36927
	.devclrn(devclrn),
36928
	.devpor(devpor),
36929
	.combout(\Add1|adder|unreg_res_node [9]),
36930
	.regout(),
36931
	.cout(),
36932
	.cascout());
36933
// synopsys translate_off
36934
defparam \Add1|adder|unreg_res_node[9]~I .cin_used = "true";
36935
defparam \Add1|adder|unreg_res_node[9]~I .clock_enable_mode = "false";
36936
defparam \Add1|adder|unreg_res_node[9]~I .lut_mask = "0ff0";
36937
defparam \Add1|adder|unreg_res_node[9]~I .operation_mode = "normal";
36938
defparam \Add1|adder|unreg_res_node[9]~I .output_mode = "comb_only";
36939
defparam \Add1|adder|unreg_res_node[9]~I .packed_mode = "false";
36940
// synopsys translate_on
36941
 
36942
// atom is at LC6_C5
36943
flex10ke_lcell \ptr_out[9]~I (
36944
// Equation(s):
36945
// ptr_out[9] = DFFEA(!scanout_start & \Add1|adder|unreg_res_node [9], GLOBAL(\fclk~dataout ), , , , , )
36946
 
36947
	.dataa(vcc),
36948
	.datab(vcc),
36949
	.datac(scanout_start),
36950
	.datad(\Add1|adder|unreg_res_node [9]),
36951
	.aclr(gnd),
36952
	.aload(gnd),
36953
	.clk(fclk),
36954
	.cin(gnd),
36955
	.cascin(vcc),
36956
	.devclrn(devclrn),
36957
	.devpor(devpor),
36958
	.combout(),
36959
	.regout(ptr_out[9]),
36960
	.cout(),
36961
	.cascout());
36962
// synopsys translate_off
36963
defparam \ptr_out[9]~I .clock_enable_mode = "false";
36964
defparam \ptr_out[9]~I .lut_mask = "0f00";
36965
defparam \ptr_out[9]~I .operation_mode = "normal";
36966
defparam \ptr_out[9]~I .output_mode = "reg_only";
36967
defparam \ptr_out[9]~I .packed_mode = "false";
36968
// synopsys translate_on
36969
 
36970
endmodule
36971
 
36972
module mem1536 (
36973
	color_4,
36974
	color_5,
36975
	color_2,
36976
	color_3,
36977
	color_0,
36978
	color_1,
36979
	q_4,
36980
	ptr_out_8,
36981
	ptr_out_9,
36982
	q_5,
36983
	q_2,
36984
	q_3,
36985
	q_0,
36986
	q_1,
36987
	wr_stb,
36988
	ptr_in_0,
36989
	ptr_in_1,
36990
	ptr_in_2,
36991
	ptr_in_3,
36992
	ptr_in_4,
36993
	ptr_in_5,
36994
	ptr_in_6,
36995
	ptr_in_7,
36996
	pages,
36997
	ptr_in_8,
36998
	ptr_in_9,
36999
	ptr_out_0,
37000
	ptr_out_1,
37001
	ptr_out_2,
37002
	ptr_out_3,
37003
	ptr_out_4,
37004
	ptr_out_5,
37005
	ptr_out_6,
37006
	ptr_out_7,
37007
	fclk,
37008
	devpor,
37009
	devclrn,
37010
	devoe);
37011
input 	color_4;
37012
input 	color_5;
37013
input 	color_2;
37014
input 	color_3;
37015
input 	color_0;
37016
input 	color_1;
37017
output 	q_4;
37018
input 	ptr_out_8;
37019
input 	ptr_out_9;
37020
output 	q_5;
37021
output 	q_2;
37022
output 	q_3;
37023
output 	q_0;
37024
output 	q_1;
37025
input 	wr_stb;
37026
input 	ptr_in_0;
37027
input 	ptr_in_1;
37028
input 	ptr_in_2;
37029
input 	ptr_in_3;
37030
input 	ptr_in_4;
37031
input 	ptr_in_5;
37032
input 	ptr_in_6;
37033
input 	ptr_in_7;
37034
input 	pages;
37035
input 	ptr_in_8;
37036
input 	ptr_in_9;
37037
input 	ptr_out_0;
37038
input 	ptr_out_1;
37039
input 	ptr_out_2;
37040
input 	ptr_out_3;
37041
input 	ptr_out_4;
37042
input 	ptr_out_5;
37043
input 	ptr_out_6;
37044
input 	ptr_out_7;
37045
input 	fclk;
37046
input 	devpor;
37047
input 	devclrn;
37048
input 	devoe;
37049
 
37050
wire gnd = 1'b0;
37051
wire vcc = 1'b1;
37052
 
37053
wire \mem_rtl_12|segment[0][4]~0 ;
37054
 
37055
 
37056
// atom is at EC1_C
37057
flex10ke_ram_slice \mem_rtl_12|segment[0][4] (
37058
	.datain(color_4),
37059
	.clk0(fclk),
37060
	.clk1(fclk),
37061
	.ena0(vcc),
37062
	.ena1(vcc),
37063
	.clr0(gnd),
37064
	.we(wr_stb),
37065
	.re(vcc),
37066
	.waddr({ptr_in_9,ptr_in_8,pages,ptr_in_7,ptr_in_6,ptr_in_5,ptr_in_4,ptr_in_3,ptr_in_2,ptr_in_1,ptr_in_0}),
37067
	.raddr({ptr_out_9,ptr_out_8,\mem_rtl_12|segment[0][4]~0 ,ptr_out_7,ptr_out_6,ptr_out_5,ptr_out_4,ptr_out_3,ptr_out_2,ptr_out_1,ptr_out_0}),
37068
	.devclrn(devclrn),
37069
	.devpor(devpor),
37070
	.modesel(16'b0011000100000101),
37071
	.dataout(q_4));
37072
// synopsys translate_off
37073
defparam \mem_rtl_12|segment[0][4] .address_width = 11;
37074
defparam \mem_rtl_12|segment[0][4] .bit_number = 4;
37075
defparam \mem_rtl_12|segment[0][4] .data_in_clear = "none";
37076
defparam \mem_rtl_12|segment[0][4] .data_in_clock = "clock0";
37077
defparam \mem_rtl_12|segment[0][4] .data_out_clear = "none";
37078
defparam \mem_rtl_12|segment[0][4] .data_out_clock = "clock1";
37079
defparam \mem_rtl_12|segment[0][4] .first_address = 0;
37080
defparam \mem_rtl_12|segment[0][4] .init_file = "none";
37081
defparam \mem_rtl_12|segment[0][4] .last_address = 1535;
37082
defparam \mem_rtl_12|segment[0][4] .logical_ram_depth = 1536;
37083
defparam \mem_rtl_12|segment[0][4] .logical_ram_name = "videoout:vidia|vga_double:vga_double|mem1536:line_buf|altdpram:mem_rtl_12|content";
37084
defparam \mem_rtl_12|segment[0][4] .logical_ram_width = 6;
37085
defparam \mem_rtl_12|segment[0][4] .operation_mode = "dual_port";
37086
defparam \mem_rtl_12|segment[0][4] .read_address_clear = "none";
37087
defparam \mem_rtl_12|segment[0][4] .read_address_clock = "none";
37088
defparam \mem_rtl_12|segment[0][4] .read_enable_clear = "none";
37089
defparam \mem_rtl_12|segment[0][4] .read_enable_clock = "none";
37090
defparam \mem_rtl_12|segment[0][4] .write_address_clear = "none";
37091
defparam \mem_rtl_12|segment[0][4] .write_enable_clear = "none";
37092
defparam \mem_rtl_12|segment[0][4] .write_logic_clock = "clock0";
37093
// synopsys translate_on
37094
 
37095
// atom is at EC1_F
37096
flex10ke_ram_slice \mem_rtl_12|segment[0][5] (
37097
	.datain(color_5),
37098
	.clk0(fclk),
37099
	.clk1(fclk),
37100
	.ena0(vcc),
37101
	.ena1(vcc),
37102
	.clr0(gnd),
37103
	.we(wr_stb),
37104
	.re(vcc),
37105
	.waddr({ptr_in_9,ptr_in_8,pages,ptr_in_7,ptr_in_6,ptr_in_5,ptr_in_4,ptr_in_3,ptr_in_2,ptr_in_1,ptr_in_0}),
37106
	.raddr({ptr_out_9,ptr_out_8,\mem_rtl_12|segment[0][4]~0 ,ptr_out_7,ptr_out_6,ptr_out_5,ptr_out_4,ptr_out_3,ptr_out_2,ptr_out_1,ptr_out_0}),
37107
	.devclrn(devclrn),
37108
	.devpor(devpor),
37109
	.modesel(16'b0011000100000101),
37110
	.dataout(q_5));
37111
// synopsys translate_off
37112
defparam \mem_rtl_12|segment[0][5] .address_width = 11;
37113
defparam \mem_rtl_12|segment[0][5] .bit_number = 5;
37114
defparam \mem_rtl_12|segment[0][5] .data_in_clear = "none";
37115
defparam \mem_rtl_12|segment[0][5] .data_in_clock = "clock0";
37116
defparam \mem_rtl_12|segment[0][5] .data_out_clear = "none";
37117
defparam \mem_rtl_12|segment[0][5] .data_out_clock = "clock1";
37118
defparam \mem_rtl_12|segment[0][5] .first_address = 0;
37119
defparam \mem_rtl_12|segment[0][5] .init_file = "none";
37120
defparam \mem_rtl_12|segment[0][5] .last_address = 1535;
37121
defparam \mem_rtl_12|segment[0][5] .logical_ram_depth = 1536;
37122
defparam \mem_rtl_12|segment[0][5] .logical_ram_name = "videoout:vidia|vga_double:vga_double|mem1536:line_buf|altdpram:mem_rtl_12|content";
37123
defparam \mem_rtl_12|segment[0][5] .logical_ram_width = 6;
37124
defparam \mem_rtl_12|segment[0][5] .operation_mode = "dual_port";
37125
defparam \mem_rtl_12|segment[0][5] .read_address_clear = "none";
37126
defparam \mem_rtl_12|segment[0][5] .read_address_clock = "none";
37127
defparam \mem_rtl_12|segment[0][5] .read_enable_clear = "none";
37128
defparam \mem_rtl_12|segment[0][5] .read_enable_clock = "none";
37129
defparam \mem_rtl_12|segment[0][5] .write_address_clear = "none";
37130
defparam \mem_rtl_12|segment[0][5] .write_enable_clear = "none";
37131
defparam \mem_rtl_12|segment[0][5] .write_logic_clock = "clock0";
37132
// synopsys translate_on
37133
 
37134
// atom is at EC9_F
37135
flex10ke_ram_slice \mem_rtl_12|segment[0][2] (
37136
	.datain(color_2),
37137
	.clk0(fclk),
37138
	.clk1(fclk),
37139
	.ena0(vcc),
37140
	.ena1(vcc),
37141
	.clr0(gnd),
37142
	.we(wr_stb),
37143
	.re(vcc),
37144
	.waddr({ptr_in_9,ptr_in_8,pages,ptr_in_7,ptr_in_6,ptr_in_5,ptr_in_4,ptr_in_3,ptr_in_2,ptr_in_1,ptr_in_0}),
37145
	.raddr({ptr_out_9,ptr_out_8,\mem_rtl_12|segment[0][4]~0 ,ptr_out_7,ptr_out_6,ptr_out_5,ptr_out_4,ptr_out_3,ptr_out_2,ptr_out_1,ptr_out_0}),
37146
	.devclrn(devclrn),
37147
	.devpor(devpor),
37148
	.modesel(16'b0011000100000101),
37149
	.dataout(q_2));
37150
// synopsys translate_off
37151
defparam \mem_rtl_12|segment[0][2] .address_width = 11;
37152
defparam \mem_rtl_12|segment[0][2] .bit_number = 2;
37153
defparam \mem_rtl_12|segment[0][2] .data_in_clear = "none";
37154
defparam \mem_rtl_12|segment[0][2] .data_in_clock = "clock0";
37155
defparam \mem_rtl_12|segment[0][2] .data_out_clear = "none";
37156
defparam \mem_rtl_12|segment[0][2] .data_out_clock = "clock1";
37157
defparam \mem_rtl_12|segment[0][2] .first_address = 0;
37158
defparam \mem_rtl_12|segment[0][2] .init_file = "none";
37159
defparam \mem_rtl_12|segment[0][2] .last_address = 1535;
37160
defparam \mem_rtl_12|segment[0][2] .logical_ram_depth = 1536;
37161
defparam \mem_rtl_12|segment[0][2] .logical_ram_name = "videoout:vidia|vga_double:vga_double|mem1536:line_buf|altdpram:mem_rtl_12|content";
37162
defparam \mem_rtl_12|segment[0][2] .logical_ram_width = 6;
37163
defparam \mem_rtl_12|segment[0][2] .operation_mode = "dual_port";
37164
defparam \mem_rtl_12|segment[0][2] .read_address_clear = "none";
37165
defparam \mem_rtl_12|segment[0][2] .read_address_clock = "none";
37166
defparam \mem_rtl_12|segment[0][2] .read_enable_clear = "none";
37167
defparam \mem_rtl_12|segment[0][2] .read_enable_clock = "none";
37168
defparam \mem_rtl_12|segment[0][2] .write_address_clear = "none";
37169
defparam \mem_rtl_12|segment[0][2] .write_enable_clear = "none";
37170
defparam \mem_rtl_12|segment[0][2] .write_logic_clock = "clock0";
37171
// synopsys translate_on
37172
 
37173
// atom is at EC1_J
37174
flex10ke_ram_slice \mem_rtl_12|segment[0][3] (
37175
	.datain(color_3),
37176
	.clk0(fclk),
37177
	.clk1(fclk),
37178
	.ena0(vcc),
37179
	.ena1(vcc),
37180
	.clr0(gnd),
37181
	.we(wr_stb),
37182
	.re(vcc),
37183
	.waddr({ptr_in_9,ptr_in_8,pages,ptr_in_7,ptr_in_6,ptr_in_5,ptr_in_4,ptr_in_3,ptr_in_2,ptr_in_1,ptr_in_0}),
37184
	.raddr({ptr_out_9,ptr_out_8,\mem_rtl_12|segment[0][4]~0 ,ptr_out_7,ptr_out_6,ptr_out_5,ptr_out_4,ptr_out_3,ptr_out_2,ptr_out_1,ptr_out_0}),
37185
	.devclrn(devclrn),
37186
	.devpor(devpor),
37187
	.modesel(16'b0011000100000101),
37188
	.dataout(q_3));
37189
// synopsys translate_off
37190
defparam \mem_rtl_12|segment[0][3] .address_width = 11;
37191
defparam \mem_rtl_12|segment[0][3] .bit_number = 3;
37192
defparam \mem_rtl_12|segment[0][3] .data_in_clear = "none";
37193
defparam \mem_rtl_12|segment[0][3] .data_in_clock = "clock0";
37194
defparam \mem_rtl_12|segment[0][3] .data_out_clear = "none";
37195
defparam \mem_rtl_12|segment[0][3] .data_out_clock = "clock1";
37196
defparam \mem_rtl_12|segment[0][3] .first_address = 0;
37197
defparam \mem_rtl_12|segment[0][3] .init_file = "none";
37198
defparam \mem_rtl_12|segment[0][3] .last_address = 1535;
37199
defparam \mem_rtl_12|segment[0][3] .logical_ram_depth = 1536;
37200
defparam \mem_rtl_12|segment[0][3] .logical_ram_name = "videoout:vidia|vga_double:vga_double|mem1536:line_buf|altdpram:mem_rtl_12|content";
37201
defparam \mem_rtl_12|segment[0][3] .logical_ram_width = 6;
37202
defparam \mem_rtl_12|segment[0][3] .operation_mode = "dual_port";
37203
defparam \mem_rtl_12|segment[0][3] .read_address_clear = "none";
37204
defparam \mem_rtl_12|segment[0][3] .read_address_clock = "none";
37205
defparam \mem_rtl_12|segment[0][3] .read_enable_clear = "none";
37206
defparam \mem_rtl_12|segment[0][3] .read_enable_clock = "none";
37207
defparam \mem_rtl_12|segment[0][3] .write_address_clear = "none";
37208
defparam \mem_rtl_12|segment[0][3] .write_enable_clear = "none";
37209
defparam \mem_rtl_12|segment[0][3] .write_logic_clock = "clock0";
37210
// synopsys translate_on
37211
 
37212
// atom is at EC9_J
37213
flex10ke_ram_slice \mem_rtl_12|segment[0][0] (
37214
	.datain(color_0),
37215
	.clk0(fclk),
37216
	.clk1(fclk),
37217
	.ena0(vcc),
37218
	.ena1(vcc),
37219
	.clr0(gnd),
37220
	.we(wr_stb),
37221
	.re(vcc),
37222
	.waddr({ptr_in_9,ptr_in_8,pages,ptr_in_7,ptr_in_6,ptr_in_5,ptr_in_4,ptr_in_3,ptr_in_2,ptr_in_1,ptr_in_0}),
37223
	.raddr({ptr_out_9,ptr_out_8,\mem_rtl_12|segment[0][4]~0 ,ptr_out_7,ptr_out_6,ptr_out_5,ptr_out_4,ptr_out_3,ptr_out_2,ptr_out_1,ptr_out_0}),
37224
	.devclrn(devclrn),
37225
	.devpor(devpor),
37226
	.modesel(16'b0011000100000101),
37227
	.dataout(q_0));
37228
// synopsys translate_off
37229
defparam \mem_rtl_12|segment[0][0] .address_width = 11;
37230
defparam \mem_rtl_12|segment[0][0] .bit_number = 0;
37231
defparam \mem_rtl_12|segment[0][0] .data_in_clear = "none";
37232
defparam \mem_rtl_12|segment[0][0] .data_in_clock = "clock0";
37233
defparam \mem_rtl_12|segment[0][0] .data_out_clear = "none";
37234
defparam \mem_rtl_12|segment[0][0] .data_out_clock = "clock1";
37235
defparam \mem_rtl_12|segment[0][0] .first_address = 0;
37236
defparam \mem_rtl_12|segment[0][0] .init_file = "none";
37237
defparam \mem_rtl_12|segment[0][0] .last_address = 1535;
37238
defparam \mem_rtl_12|segment[0][0] .logical_ram_depth = 1536;
37239
defparam \mem_rtl_12|segment[0][0] .logical_ram_name = "videoout:vidia|vga_double:vga_double|mem1536:line_buf|altdpram:mem_rtl_12|content";
37240
defparam \mem_rtl_12|segment[0][0] .logical_ram_width = 6;
37241
defparam \mem_rtl_12|segment[0][0] .operation_mode = "dual_port";
37242
defparam \mem_rtl_12|segment[0][0] .read_address_clear = "none";
37243
defparam \mem_rtl_12|segment[0][0] .read_address_clock = "none";
37244
defparam \mem_rtl_12|segment[0][0] .read_enable_clear = "none";
37245
defparam \mem_rtl_12|segment[0][0] .read_enable_clock = "none";
37246
defparam \mem_rtl_12|segment[0][0] .write_address_clear = "none";
37247
defparam \mem_rtl_12|segment[0][0] .write_enable_clear = "none";
37248
defparam \mem_rtl_12|segment[0][0] .write_logic_clock = "clock0";
37249
// synopsys translate_on
37250
 
37251
// atom is at EC9_C
37252
flex10ke_ram_slice \mem_rtl_12|segment[0][1] (
37253
	.datain(color_1),
37254
	.clk0(fclk),
37255
	.clk1(fclk),
37256
	.ena0(vcc),
37257
	.ena1(vcc),
37258
	.clr0(gnd),
37259
	.we(wr_stb),
37260
	.re(vcc),
37261
	.waddr({ptr_in_9,ptr_in_8,pages,ptr_in_7,ptr_in_6,ptr_in_5,ptr_in_4,ptr_in_3,ptr_in_2,ptr_in_1,ptr_in_0}),
37262
	.raddr({ptr_out_9,ptr_out_8,\mem_rtl_12|segment[0][4]~0 ,ptr_out_7,ptr_out_6,ptr_out_5,ptr_out_4,ptr_out_3,ptr_out_2,ptr_out_1,ptr_out_0}),
37263
	.devclrn(devclrn),
37264
	.devpor(devpor),
37265
	.modesel(16'b0011000100000101),
37266
	.dataout(q_1));
37267
// synopsys translate_off
37268
defparam \mem_rtl_12|segment[0][1] .address_width = 11;
37269
defparam \mem_rtl_12|segment[0][1] .bit_number = 1;
37270
defparam \mem_rtl_12|segment[0][1] .data_in_clear = "none";
37271
defparam \mem_rtl_12|segment[0][1] .data_in_clock = "clock0";
37272
defparam \mem_rtl_12|segment[0][1] .data_out_clear = "none";
37273
defparam \mem_rtl_12|segment[0][1] .data_out_clock = "clock1";
37274
defparam \mem_rtl_12|segment[0][1] .first_address = 0;
37275
defparam \mem_rtl_12|segment[0][1] .init_file = "none";
37276
defparam \mem_rtl_12|segment[0][1] .last_address = 1535;
37277
defparam \mem_rtl_12|segment[0][1] .logical_ram_depth = 1536;
37278
defparam \mem_rtl_12|segment[0][1] .logical_ram_name = "videoout:vidia|vga_double:vga_double|mem1536:line_buf|altdpram:mem_rtl_12|content";
37279
defparam \mem_rtl_12|segment[0][1] .logical_ram_width = 6;
37280
defparam \mem_rtl_12|segment[0][1] .operation_mode = "dual_port";
37281
defparam \mem_rtl_12|segment[0][1] .read_address_clear = "none";
37282
defparam \mem_rtl_12|segment[0][1] .read_address_clock = "none";
37283
defparam \mem_rtl_12|segment[0][1] .read_enable_clear = "none";
37284
defparam \mem_rtl_12|segment[0][1] .read_enable_clock = "none";
37285
defparam \mem_rtl_12|segment[0][1] .write_address_clear = "none";
37286
defparam \mem_rtl_12|segment[0][1] .write_enable_clear = "none";
37287
defparam \mem_rtl_12|segment[0][1] .write_logic_clock = "clock0";
37288
// synopsys translate_on
37289
 
37290
// atom is at LC1_C12
37291
flex10ke_lcell \mem_rtl_12|segment[0][4]~0_I (
37292
// Equation(s):
37293
// \mem_rtl_12|segment[0][4]~0  = !pages
37294
 
37295
	.dataa(vcc),
37296
	.datab(vcc),
37297
	.datac(vcc),
37298
	.datad(pages),
37299
	.aclr(gnd),
37300
	.aload(gnd),
37301
	.clk(gnd),
37302
	.cin(gnd),
37303
	.cascin(vcc),
37304
	.devclrn(devclrn),
37305
	.devpor(devpor),
37306
	.combout(\mem_rtl_12|segment[0][4]~0 ),
37307
	.regout(),
37308
	.cout(),
37309
	.cascout());
37310
// synopsys translate_off
37311
defparam \mem_rtl_12|segment[0][4]~0_I .clock_enable_mode = "false";
37312
defparam \mem_rtl_12|segment[0][4]~0_I .lut_mask = "00ff";
37313
defparam \mem_rtl_12|segment[0][4]~0_I .operation_mode = "normal";
37314
defparam \mem_rtl_12|segment[0][4]~0_I .output_mode = "comb_only";
37315
defparam \mem_rtl_12|segment[0][4]~0_I .packed_mode = "false";
37316
// synopsys translate_on
37317
 
37318
endmodule
37319
 
37320
module zbus (
37321
	porthit,
37322
	iorq1_n,
37323
	iorq2_n,
37324
	iorq_n,
37325
	iorqge1,
37326
	devpor,
37327
	devclrn,
37328
	devoe);
37329
input 	porthit;
37330
output 	iorq1_n;
37331
output 	iorq2_n;
37332
input 	iorq_n;
37333
input 	iorqge1;
37334
input 	devpor;
37335
input 	devclrn;
37336
input 	devoe;
37337
 
37338
wire gnd = 1'b0;
37339
wire vcc = 1'b1;
37340
 
37341
 
37342
 
37343
// atom is at LC6_D15
37344
flex10ke_lcell \iorq1_n~I (
37345
// Equation(s):
37346
// iorq1_n = porthit # \iorq_n~dataout 
37347
 
37348
	.dataa(vcc),
37349
	.datab(vcc),
37350
	.datac(porthit),
37351
	.datad(iorq_n),
37352
	.aclr(gnd),
37353
	.aload(gnd),
37354
	.clk(gnd),
37355
	.cin(gnd),
37356
	.cascin(vcc),
37357
	.devclrn(devclrn),
37358
	.devpor(devpor),
37359
	.combout(iorq1_n),
37360
	.regout(),
37361
	.cout(),
37362
	.cascout());
37363
// synopsys translate_off
37364
defparam \iorq1_n~I .clock_enable_mode = "false";
37365
defparam \iorq1_n~I .lut_mask = "fff0";
37366
defparam \iorq1_n~I .operation_mode = "normal";
37367
defparam \iorq1_n~I .output_mode = "comb_only";
37368
defparam \iorq1_n~I .packed_mode = "false";
37369
// synopsys translate_on
37370
 
37371
// atom is at LC2_D15
37372
flex10ke_lcell \iorq2_n~I (
37373
// Equation(s):
37374
// iorq2_n = porthit # \iorq_n~dataout  # \iorqge1~dataout 
37375
 
37376
	.dataa(vcc),
37377
	.datab(porthit),
37378
	.datac(iorq_n),
37379
	.datad(iorqge1),
37380
	.aclr(gnd),
37381
	.aload(gnd),
37382
	.clk(gnd),
37383
	.cin(gnd),
37384
	.cascin(vcc),
37385
	.devclrn(devclrn),
37386
	.devpor(devpor),
37387
	.combout(iorq2_n),
37388
	.regout(),
37389
	.cout(),
37390
	.cascout());
37391
// synopsys translate_off
37392
defparam \iorq2_n~I .clock_enable_mode = "false";
37393
defparam \iorq2_n~I .lut_mask = "fffc";
37394
defparam \iorq2_n~I .operation_mode = "normal";
37395
defparam \iorq2_n~I .output_mode = "comb_only";
37396
defparam \iorq2_n~I .packed_mode = "false";
37397
// synopsys translate_on
37398
 
37399
endmodule
37400
 
37401
module zclock (
37402
	zclk_out,
37403
	zneg,
37404
	zpos,
37405
	cbeg,
37406
	pre_cend,
37407
	WideOr0,
37408
	peff7_int_4,
37409
	fclk,
37410
	rfsh_n,
37411
	devpor,
37412
	devclrn,
37413
	devoe);
37414
output 	zclk_out;
37415
output 	zneg;
37416
output 	zpos;
37417
input 	cbeg;
37418
input 	pre_cend;
37419
input 	WideOr0;
37420
input 	peff7_int_4;
37421
input 	fclk;
37422
input 	rfsh_n;
37423
input 	devpor;
37424
input 	devclrn;
37425
input 	devoe;
37426
 
37427
wire gnd = 1'b0;
37428
wire vcc = 1'b1;
37429
 
37430
wire old_rfsh_n;
37431
wire \int_turbo[0]~25 ;
37432
wire precend_cnt;
37433
wire \zneg~51 ;
37434
wire [1:0] int_turbo;
37435
 
37436
 
37437
// atom is at LC7_A1
37438
flex10ke_lcell \old_rfsh_n~I (
37439
// Equation(s):
37440
// old_rfsh_n = DFFEA(\rfsh_n~dataout , GLOBAL(\fclk~dataout ), , , zpos, , )
37441
 
37442
	.dataa(zpos),
37443
	.datab(vcc),
37444
	.datac(vcc),
37445
	.datad(rfsh_n),
37446
	.aclr(gnd),
37447
	.aload(gnd),
37448
	.clk(fclk),
37449
	.cin(gnd),
37450
	.cascin(vcc),
37451
	.devclrn(devclrn),
37452
	.devpor(devpor),
37453
	.combout(),
37454
	.regout(old_rfsh_n),
37455
	.cout(),
37456
	.cascout());
37457
// synopsys translate_off
37458
defparam \old_rfsh_n~I .clock_enable_mode = "true";
37459
defparam \old_rfsh_n~I .lut_mask = "ff00";
37460
defparam \old_rfsh_n~I .operation_mode = "normal";
37461
defparam \old_rfsh_n~I .output_mode = "reg_only";
37462
defparam \old_rfsh_n~I .packed_mode = "false";
37463
// synopsys translate_on
37464
 
37465
// atom is at LC8_A1
37466
flex10ke_lcell \int_turbo[0]~25_I (
37467
// Equation(s):
37468
// \int_turbo[0]~25  = !\rfsh_n~dataout  & old_rfsh_n & zpos
37469
 
37470
	.dataa(vcc),
37471
	.datab(rfsh_n),
37472
	.datac(old_rfsh_n),
37473
	.datad(zpos),
37474
	.aclr(gnd),
37475
	.aload(gnd),
37476
	.clk(gnd),
37477
	.cin(gnd),
37478
	.cascin(vcc),
37479
	.devclrn(devclrn),
37480
	.devpor(devpor),
37481
	.combout(\int_turbo[0]~25 ),
37482
	.regout(),
37483
	.cout(),
37484
	.cascout());
37485
// synopsys translate_off
37486
defparam \int_turbo[0]~25_I .clock_enable_mode = "false";
37487
defparam \int_turbo[0]~25_I .lut_mask = "3000";
37488
defparam \int_turbo[0]~25_I .operation_mode = "normal";
37489
defparam \int_turbo[0]~25_I .output_mode = "comb_only";
37490
defparam \int_turbo[0]~25_I .packed_mode = "false";
37491
// synopsys translate_on
37492
 
37493
// atom is at LC8_C7
37494
flex10ke_lcell \zclk_out~I (
37495
// Equation(s):
37496
// zclk_out = DFFEA(zneg # !zpos & zclk_out, !GLOBAL(\fclk~dataout ), , , , , )
37497
 
37498
	.dataa(vcc),
37499
	.datab(zpos),
37500
	.datac(zclk_out),
37501
	.datad(zneg),
37502
	.aclr(gnd),
37503
	.aload(gnd),
37504
	.clk(!fclk),
37505
	.cin(gnd),
37506
	.cascin(vcc),
37507
	.devclrn(devclrn),
37508
	.devpor(devpor),
37509
	.combout(),
37510
	.regout(zclk_out),
37511
	.cout(),
37512
	.cascout());
37513
// synopsys translate_off
37514
defparam \zclk_out~I .clock_enable_mode = "false";
37515
defparam \zclk_out~I .lut_mask = "ff30";
37516
defparam \zclk_out~I .operation_mode = "normal";
37517
defparam \zclk_out~I .output_mode = "reg_only";
37518
defparam \zclk_out~I .packed_mode = "false";
37519
// synopsys translate_on
37520
 
37521
// atom is at LC2_A2
37522
flex10ke_lcell \zneg~I (
37523
// Equation(s):
37524
// zneg = DFFEA(!WideOr0 & \zneg~51 , GLOBAL(\fclk~dataout ), , , , , )
37525
 
37526
	.dataa(vcc),
37527
	.datab(vcc),
37528
	.datac(WideOr0),
37529
	.datad(\zneg~51 ),
37530
	.aclr(gnd),
37531
	.aload(gnd),
37532
	.clk(fclk),
37533
	.cin(gnd),
37534
	.cascin(vcc),
37535
	.devclrn(devclrn),
37536
	.devpor(devpor),
37537
	.combout(),
37538
	.regout(zneg),
37539
	.cout(),
37540
	.cascout());
37541
// synopsys translate_off
37542
defparam \zneg~I .clock_enable_mode = "false";
37543
defparam \zneg~I .lut_mask = "0f00";
37544
defparam \zneg~I .operation_mode = "normal";
37545
defparam \zneg~I .output_mode = "reg_only";
37546
defparam \zneg~I .packed_mode = "false";
37547
// synopsys translate_on
37548
 
37549
// atom is at LC5_A1
37550
flex10ke_lcell \zpos~I (
37551
// Equation(s):
37552
// zpos = DFFEA(!WideOr0 & pre_cend & (int_turbo[0] # !precend_cnt), GLOBAL(\fclk~dataout ), , , , , )
37553
 
37554
	.dataa(WideOr0),
37555
	.datab(precend_cnt),
37556
	.datac(int_turbo[0]),
37557
	.datad(pre_cend),
37558
	.aclr(gnd),
37559
	.aload(gnd),
37560
	.clk(fclk),
37561
	.cin(gnd),
37562
	.cascin(vcc),
37563
	.devclrn(devclrn),
37564
	.devpor(devpor),
37565
	.combout(),
37566
	.regout(zpos),
37567
	.cout(),
37568
	.cascout());
37569
// synopsys translate_off
37570
defparam \zpos~I .clock_enable_mode = "false";
37571
defparam \zpos~I .lut_mask = "5100";
37572
defparam \zpos~I .operation_mode = "normal";
37573
defparam \zpos~I .output_mode = "reg_only";
37574
defparam \zpos~I .packed_mode = "false";
37575
// synopsys translate_on
37576
 
37577
// atom is at LC2_A1
37578
flex10ke_lcell \int_turbo[0]~I (
37579
// Equation(s):
37580
// int_turbo[0] = DFFEA(!peff7_int_4, GLOBAL(\fclk~dataout ), , , \int_turbo[0]~25 , , )
37581
 
37582
	.dataa(\int_turbo[0]~25 ),
37583
	.datab(vcc),
37584
	.datac(vcc),
37585
	.datad(peff7_int_4),
37586
	.aclr(gnd),
37587
	.aload(gnd),
37588
	.clk(fclk),
37589
	.cin(gnd),
37590
	.cascin(vcc),
37591
	.devclrn(devclrn),
37592
	.devpor(devpor),
37593
	.combout(),
37594
	.regout(int_turbo[0]),
37595
	.cout(),
37596
	.cascout());
37597
// synopsys translate_off
37598
defparam \int_turbo[0]~I .clock_enable_mode = "true";
37599
defparam \int_turbo[0]~I .lut_mask = "00ff";
37600
defparam \int_turbo[0]~I .operation_mode = "normal";
37601
defparam \int_turbo[0]~I .output_mode = "reg_only";
37602
defparam \int_turbo[0]~I .packed_mode = "false";
37603
// synopsys translate_on
37604
 
37605
// atom is at LC1_A1
37606
flex10ke_lcell \precend_cnt~I (
37607
// Equation(s):
37608
// precend_cnt = DFFEA(!precend_cnt, GLOBAL(\fclk~dataout ), , , pre_cend, , )
37609
 
37610
	.dataa(pre_cend),
37611
	.datab(vcc),
37612
	.datac(vcc),
37613
	.datad(precend_cnt),
37614
	.aclr(gnd),
37615
	.aload(gnd),
37616
	.clk(fclk),
37617
	.cin(gnd),
37618
	.cascin(vcc),
37619
	.devclrn(devclrn),
37620
	.devpor(devpor),
37621
	.combout(),
37622
	.regout(precend_cnt),
37623
	.cout(),
37624
	.cascout());
37625
// synopsys translate_off
37626
defparam \precend_cnt~I .clock_enable_mode = "true";
37627
defparam \precend_cnt~I .lut_mask = "00ff";
37628
defparam \precend_cnt~I .operation_mode = "normal";
37629
defparam \precend_cnt~I .output_mode = "reg_only";
37630
defparam \precend_cnt~I .packed_mode = "false";
37631
// synopsys translate_on
37632
 
37633
// atom is at LC6_A1
37634
flex10ke_lcell \zneg~51_I (
37635
// Equation(s):
37636
// \zneg~51  = int_turbo[0] & (cbeg) # !int_turbo[0] & precend_cnt & pre_cend
37637
 
37638
	.dataa(int_turbo[0]),
37639
	.datab(precend_cnt),
37640
	.datac(pre_cend),
37641
	.datad(cbeg),
37642
	.aclr(gnd),
37643
	.aload(gnd),
37644
	.clk(gnd),
37645
	.cin(gnd),
37646
	.cascin(vcc),
37647
	.devclrn(devclrn),
37648
	.devpor(devpor),
37649
	.combout(\zneg~51 ),
37650
	.regout(),
37651
	.cout(),
37652
	.cascout());
37653
// synopsys translate_off
37654
defparam \zneg~51_I .clock_enable_mode = "false";
37655
defparam \zneg~51_I .lut_mask = "ea40";
37656
defparam \zneg~51_I .operation_mode = "normal";
37657
defparam \zneg~51_I .output_mode = "comb_only";
37658
defparam \zneg~51_I .packed_mode = "false";
37659
// synopsys translate_on
37660
 
37661
endmodule
37662
 
37663
module zdos (
37664
	rst_out_n,
37665
	dos,
37666
	always0,
37667
	always01,
37668
	WideOr0,
37669
	atm_cpm_n,
37670
	dos_turn_off,
37671
	ramnrom_0,
37672
	ramnrom_01,
37673
	dos_turn_off1,
37674
	dos_turn_off2,
37675
	fclk,
37676
	devpor,
37677
	devclrn,
37678
	devoe);
37679
input 	rst_out_n;
37680
output 	dos;
37681
input 	always0;
37682
input 	always01;
37683
input 	WideOr0;
37684
input 	atm_cpm_n;
37685
input 	dos_turn_off;
37686
input 	ramnrom_0;
37687
input 	ramnrom_01;
37688
input 	dos_turn_off1;
37689
input 	dos_turn_off2;
37690
input 	fclk;
37691
input 	devpor;
37692
input 	devclrn;
37693
input 	devoe;
37694
 
37695
wire gnd = 1'b0;
37696
wire vcc = 1'b1;
37697
 
37698
wire \dos~86 ;
37699
wire \dos~92 ;
37700
wire \dos~88 ;
37701
 
37702
 
37703
// atom is at LC1_A7
37704
flex10ke_lcell \dos~I (
37705
// Equation(s):
37706
// dos = DFFEA(atm_cpm_n & (\WideOr0~82  & dos # !\dos~88 ), GLOBAL(\fclk~dataout ), rst_out_n, , , , )
37707
 
37708
	.dataa(atm_cpm_n),
37709
	.datab(WideOr0),
37710
	.datac(dos),
37711
	.datad(\dos~88 ),
37712
	.aclr(!rst_out_n),
37713
	.aload(gnd),
37714
	.clk(fclk),
37715
	.cin(gnd),
37716
	.cascin(vcc),
37717
	.devclrn(devclrn),
37718
	.devpor(devpor),
37719
	.combout(),
37720
	.regout(dos),
37721
	.cout(),
37722
	.cascout());
37723
// synopsys translate_off
37724
defparam \dos~I .clock_enable_mode = "false";
37725
defparam \dos~I .lut_mask = "80aa";
37726
defparam \dos~I .operation_mode = "normal";
37727
defparam \dos~I .output_mode = "reg_only";
37728
defparam \dos~I .packed_mode = "false";
37729
// synopsys translate_on
37730
 
37731
// atom is at LC3_A7
37732
flex10ke_lcell \dos~86_I (
37733
// Equation(s):
37734
// \dos~92  = !dos_turn_off & (!always0 # !ramnrom_0) # !dos_turn_off
37735
 
37736
	.dataa(dos_turn_off),
37737
	.datab(dos_turn_off1),
37738
	.datac(ramnrom_0),
37739
	.datad(always01),
37740
	.aclr(gnd),
37741
	.aload(gnd),
37742
	.clk(gnd),
37743
	.cin(gnd),
37744
	.cascin(vcc),
37745
	.devclrn(devclrn),
37746
	.devpor(devpor),
37747
	.combout(\dos~86 ),
37748
	.regout(),
37749
	.cout(),
37750
	.cascout(\dos~92 ));
37751
// synopsys translate_off
37752
defparam \dos~86_I .clock_enable_mode = "false";
37753
defparam \dos~86_I .lut_mask = "5777";
37754
defparam \dos~86_I .operation_mode = "normal";
37755
defparam \dos~86_I .output_mode = "none";
37756
defparam \dos~86_I .packed_mode = "false";
37757
// synopsys translate_on
37758
 
37759
// atom is at LC4_A7
37760
flex10ke_lcell \dos~88_I (
37761
// Equation(s):
37762
// \dos~88  = (!dos_turn_off1 & (!always0 # !ramnrom_0) # !dos_turn_off) & CASCADE(\dos~92 )
37763
 
37764
	.dataa(dos_turn_off),
37765
	.datab(dos_turn_off2),
37766
	.datac(ramnrom_01),
37767
	.datad(always0),
37768
	.aclr(gnd),
37769
	.aload(gnd),
37770
	.clk(gnd),
37771
	.cin(gnd),
37772
	.cascin(\dos~92 ),
37773
	.devclrn(devclrn),
37774
	.devpor(devpor),
37775
	.combout(\dos~88 ),
37776
	.regout(),
37777
	.cout(),
37778
	.cascout());
37779
// synopsys translate_off
37780
defparam \dos~88_I .clock_enable_mode = "false";
37781
defparam \dos~88_I .lut_mask = "5777";
37782
defparam \dos~88_I .operation_mode = "normal";
37783
defparam \dos~88_I .output_mode = "comb_only";
37784
defparam \dos~88_I .packed_mode = "false";
37785
// synopsys translate_on
37786
 
37787
endmodule
37788
 
37789
module zint (
37790
	int_n,
37791
	m1_n,
37792
	int_start,
37793
	fclk,
37794
	zclk,
37795
	devpor,
37796
	devclrn,
37797
	devoe);
37798
output 	int_n;
37799
input 	m1_n;
37800
input 	int_start;
37801
input 	fclk;
37802
input 	zclk;
37803
input 	devpor;
37804
input 	devclrn;
37805
input 	devoe;
37806
 
37807
wire gnd = 1'b0;
37808
wire vcc = 1'b1;
37809
 
37810
wire ibeg;
37811
wire ibg1;
37812
wire ibg2;
37813
wire ibg3;
37814
wire \always3~0 ;
37815
wire \intctr_rtl_2|wysi_counter|counter_cell[0]~COUT ;
37816
wire \intctr_rtl_2|wysi_counter|counter_cell[1]~COUT ;
37817
wire \intctr_rtl_2|wysi_counter|counter_cell[2]~COUT ;
37818
wire \intctr_rtl_2|wysi_counter|counter_cell[3]~COUT ;
37819
wire \intctr_rtl_2|wysi_counter|counter_cell[4]~COUT ;
37820
wire [6:0] \intctr_rtl_2|wysi_counter|q ;
37821
 
37822
 
37823
// atom is at LC1_E16
37824
flex10ke_lcell \int_n~I (
37825
// Equation(s):
37826
// int_n = DFFEA(\always3~0  & (\intctr_rtl_2|wysi_counter|q [5] # int_n # \m1_n~9 ), GLOBAL(\clkz_in~dataout ), , , , , )
37827
 
37828
	.dataa(\always3~0 ),
37829
	.datab(\intctr_rtl_2|wysi_counter|q [5]),
37830
	.datac(int_n),
37831
	.datad(m1_n),
37832
	.aclr(gnd),
37833
	.aload(gnd),
37834
	.clk(zclk),
37835
	.cin(gnd),
37836
	.cascin(vcc),
37837
	.devclrn(devclrn),
37838
	.devpor(devpor),
37839
	.combout(),
37840
	.regout(int_n),
37841
	.cout(),
37842
	.cascout());
37843
// synopsys translate_off
37844
defparam \int_n~I .clock_enable_mode = "false";
37845
defparam \int_n~I .lut_mask = "aaa8";
37846
defparam \int_n~I .operation_mode = "normal";
37847
defparam \int_n~I .output_mode = "reg_only";
37848
defparam \int_n~I .packed_mode = "false";
37849
// synopsys translate_on
37850
 
37851
// atom is at LC6_I4
37852
flex10ke_lcell \ibeg~I (
37853
// Equation(s):
37854
// ibeg = DFFEA(!ibeg, GLOBAL(\fclk~dataout ), , , int_start, , )
37855
 
37856
	.dataa(int_start),
37857
	.datab(vcc),
37858
	.datac(vcc),
37859
	.datad(ibeg),
37860
	.aclr(gnd),
37861
	.aload(gnd),
37862
	.clk(fclk),
37863
	.cin(gnd),
37864
	.cascin(vcc),
37865
	.devclrn(devclrn),
37866
	.devpor(devpor),
37867
	.combout(),
37868
	.regout(ibeg),
37869
	.cout(),
37870
	.cascout());
37871
// synopsys translate_off
37872
defparam \ibeg~I .clock_enable_mode = "true";
37873
defparam \ibeg~I .lut_mask = "00ff";
37874
defparam \ibeg~I .operation_mode = "normal";
37875
defparam \ibeg~I .output_mode = "reg_only";
37876
defparam \ibeg~I .packed_mode = "false";
37877
// synopsys translate_on
37878
 
37879
// atom is at LC5_I4
37880
flex10ke_lcell \ibg1~I (
37881
// Equation(s):
37882
// ibg1 = DFFEA(ibeg, GLOBAL(\clkz_in~dataout ), , , , , )
37883
 
37884
	.dataa(vcc),
37885
	.datab(vcc),
37886
	.datac(vcc),
37887
	.datad(ibeg),
37888
	.aclr(gnd),
37889
	.aload(gnd),
37890
	.clk(zclk),
37891
	.cin(gnd),
37892
	.cascin(vcc),
37893
	.devclrn(devclrn),
37894
	.devpor(devpor),
37895
	.combout(),
37896
	.regout(ibg1),
37897
	.cout(),
37898
	.cascout());
37899
// synopsys translate_off
37900
defparam \ibg1~I .clock_enable_mode = "false";
37901
defparam \ibg1~I .lut_mask = "ff00";
37902
defparam \ibg1~I .operation_mode = "normal";
37903
defparam \ibg1~I .output_mode = "reg_only";
37904
defparam \ibg1~I .packed_mode = "false";
37905
// synopsys translate_on
37906
 
37907
// atom is at LC2_I4
37908
flex10ke_lcell \ibg2~I (
37909
// Equation(s):
37910
// ibg2 = DFFEA(ibg1, GLOBAL(\clkz_in~dataout ), , , , , )
37911
 
37912
	.dataa(vcc),
37913
	.datab(vcc),
37914
	.datac(vcc),
37915
	.datad(ibg1),
37916
	.aclr(gnd),
37917
	.aload(gnd),
37918
	.clk(zclk),
37919
	.cin(gnd),
37920
	.cascin(vcc),
37921
	.devclrn(devclrn),
37922
	.devpor(devpor),
37923
	.combout(),
37924
	.regout(ibg2),
37925
	.cout(),
37926
	.cascout());
37927
// synopsys translate_off
37928
defparam \ibg2~I .clock_enable_mode = "false";
37929
defparam \ibg2~I .lut_mask = "ff00";
37930
defparam \ibg2~I .operation_mode = "normal";
37931
defparam \ibg2~I .output_mode = "reg_only";
37932
defparam \ibg2~I .packed_mode = "false";
37933
// synopsys translate_on
37934
 
37935
// atom is at LC3_I4
37936
flex10ke_lcell \ibg3~I (
37937
// Equation(s):
37938
// ibg3 = DFFEA(ibg2, GLOBAL(\clkz_in~dataout ), , , , , )
37939
 
37940
	.dataa(vcc),
37941
	.datab(vcc),
37942
	.datac(vcc),
37943
	.datad(ibg2),
37944
	.aclr(gnd),
37945
	.aload(gnd),
37946
	.clk(zclk),
37947
	.cin(gnd),
37948
	.cascin(vcc),
37949
	.devclrn(devclrn),
37950
	.devpor(devpor),
37951
	.combout(),
37952
	.regout(ibg3),
37953
	.cout(),
37954
	.cascout());
37955
// synopsys translate_off
37956
defparam \ibg3~I .clock_enable_mode = "false";
37957
defparam \ibg3~I .lut_mask = "ff00";
37958
defparam \ibg3~I .operation_mode = "normal";
37959
defparam \ibg3~I .output_mode = "reg_only";
37960
defparam \ibg3~I .packed_mode = "false";
37961
// synopsys translate_on
37962
 
37963
// atom is at LC1_I4
37964
flex10ke_lcell \always3~0_I (
37965
// Equation(s):
37966
// \always3~0  = ibg3 $ !ibg2
37967
 
37968
	.dataa(vcc),
37969
	.datab(vcc),
37970
	.datac(ibg3),
37971
	.datad(ibg2),
37972
	.aclr(gnd),
37973
	.aload(gnd),
37974
	.clk(gnd),
37975
	.cin(gnd),
37976
	.cascin(vcc),
37977
	.devclrn(devclrn),
37978
	.devpor(devpor),
37979
	.combout(\always3~0 ),
37980
	.regout(),
37981
	.cout(),
37982
	.cascout());
37983
// synopsys translate_off
37984
defparam \always3~0_I .clock_enable_mode = "false";
37985
defparam \always3~0_I .lut_mask = "f00f";
37986
defparam \always3~0_I .operation_mode = "normal";
37987
defparam \always3~0_I .output_mode = "comb_only";
37988
defparam \always3~0_I .packed_mode = "false";
37989
// synopsys translate_on
37990
 
37991
// atom is at LC3_E16
37992
flex10ke_lcell \intctr_rtl_2|wysi_counter|counter_cell[0] (
37993
// Equation(s):
37994
// \intctr_rtl_2|wysi_counter|counter_cell[0]~COUT  = CARRY()
37995
 
37996
	.dataa(\intctr_rtl_2|wysi_counter|q [5]),
37997
	.datab(\always3~0 ),
37998
	.datac(vcc),
37999
	.datad(vcc),
38000
	.aclr(gnd),
38001
	.aload(gnd),
38002
	.clk(zclk),
38003
	.cin(gnd),
38004
	.cascin(vcc),
38005
	.devclrn(devclrn),
38006
	.devpor(devpor),
38007
	.combout(),
38008
	.regout(\intctr_rtl_2|wysi_counter|q [0]),
38009
	.cout(\intctr_rtl_2|wysi_counter|counter_cell[0]~COUT ),
38010
	.cascout());
38011
// synopsys translate_off
38012
defparam \intctr_rtl_2|wysi_counter|counter_cell[0] .clock_enable_mode = "false";
38013
defparam \intctr_rtl_2|wysi_counter|counter_cell[0] .lut_mask = "99aa";
38014
defparam \intctr_rtl_2|wysi_counter|counter_cell[0] .operation_mode = "clrb_cntr";
38015
defparam \intctr_rtl_2|wysi_counter|counter_cell[0] .output_mode = "none";
38016
defparam \intctr_rtl_2|wysi_counter|counter_cell[0] .packed_mode = "false";
38017
// synopsys translate_on
38018
 
38019
// atom is at LC4_E16
38020
flex10ke_lcell \intctr_rtl_2|wysi_counter|counter_cell[1] (
38021
// Equation(s):
38022
// \intctr_rtl_2|wysi_counter|counter_cell[1]~COUT  = CARRY( & (\intctr_rtl_2|wysi_counter|counter_cell[0]~COUT ))
38023
 
38024
	.dataa(\intctr_rtl_2|wysi_counter|q [5]),
38025
	.datab(\always3~0 ),
38026
	.datac(vcc),
38027
	.datad(vcc),
38028
	.aclr(gnd),
38029
	.aload(gnd),
38030
	.clk(zclk),
38031
	.cin(\intctr_rtl_2|wysi_counter|counter_cell[0]~COUT ),
38032
	.cascin(vcc),
38033
	.devclrn(devclrn),
38034
	.devpor(devpor),
38035
	.combout(),
38036
	.regout(\intctr_rtl_2|wysi_counter|q [1]),
38037
	.cout(\intctr_rtl_2|wysi_counter|counter_cell[1]~COUT ),
38038
	.cascout());
38039
// synopsys translate_off
38040
defparam \intctr_rtl_2|wysi_counter|counter_cell[1] .cin_used = "true";
38041
defparam \intctr_rtl_2|wysi_counter|counter_cell[1] .clock_enable_mode = "false";
38042
defparam \intctr_rtl_2|wysi_counter|counter_cell[1] .lut_mask = "9ca0";
38043
defparam \intctr_rtl_2|wysi_counter|counter_cell[1] .operation_mode = "clrb_cntr";
38044
defparam \intctr_rtl_2|wysi_counter|counter_cell[1] .output_mode = "none";
38045
defparam \intctr_rtl_2|wysi_counter|counter_cell[1] .packed_mode = "false";
38046
// synopsys translate_on
38047
 
38048
// atom is at LC5_E16
38049
flex10ke_lcell \intctr_rtl_2|wysi_counter|counter_cell[2] (
38050
// Equation(s):
38051
// \intctr_rtl_2|wysi_counter|counter_cell[2]~COUT  = CARRY( & (\intctr_rtl_2|wysi_counter|counter_cell[1]~COUT ))
38052
 
38053
	.dataa(\intctr_rtl_2|wysi_counter|q [5]),
38054
	.datab(\always3~0 ),
38055
	.datac(vcc),
38056
	.datad(vcc),
38057
	.aclr(gnd),
38058
	.aload(gnd),
38059
	.clk(zclk),
38060
	.cin(\intctr_rtl_2|wysi_counter|counter_cell[1]~COUT ),
38061
	.cascin(vcc),
38062
	.devclrn(devclrn),
38063
	.devpor(devpor),
38064
	.combout(),
38065
	.regout(\intctr_rtl_2|wysi_counter|q [2]),
38066
	.cout(\intctr_rtl_2|wysi_counter|counter_cell[2]~COUT ),
38067
	.cascout());
38068
// synopsys translate_off
38069
defparam \intctr_rtl_2|wysi_counter|counter_cell[2] .cin_used = "true";
38070
defparam \intctr_rtl_2|wysi_counter|counter_cell[2] .clock_enable_mode = "false";
38071
defparam \intctr_rtl_2|wysi_counter|counter_cell[2] .lut_mask = "9ca0";
38072
defparam \intctr_rtl_2|wysi_counter|counter_cell[2] .operation_mode = "clrb_cntr";
38073
defparam \intctr_rtl_2|wysi_counter|counter_cell[2] .output_mode = "none";
38074
defparam \intctr_rtl_2|wysi_counter|counter_cell[2] .packed_mode = "false";
38075
// synopsys translate_on
38076
 
38077
// atom is at LC6_E16
38078
flex10ke_lcell \intctr_rtl_2|wysi_counter|counter_cell[3] (
38079
// Equation(s):
38080
// \intctr_rtl_2|wysi_counter|counter_cell[3]~COUT  = CARRY( & (\intctr_rtl_2|wysi_counter|counter_cell[2]~COUT ))
38081
 
38082
	.dataa(\intctr_rtl_2|wysi_counter|q [5]),
38083
	.datab(\always3~0 ),
38084
	.datac(vcc),
38085
	.datad(vcc),
38086
	.aclr(gnd),
38087
	.aload(gnd),
38088
	.clk(zclk),
38089
	.cin(\intctr_rtl_2|wysi_counter|counter_cell[2]~COUT ),
38090
	.cascin(vcc),
38091
	.devclrn(devclrn),
38092
	.devpor(devpor),
38093
	.combout(),
38094
	.regout(\intctr_rtl_2|wysi_counter|q [3]),
38095
	.cout(\intctr_rtl_2|wysi_counter|counter_cell[3]~COUT ),
38096
	.cascout());
38097
// synopsys translate_off
38098
defparam \intctr_rtl_2|wysi_counter|counter_cell[3] .cin_used = "true";
38099
defparam \intctr_rtl_2|wysi_counter|counter_cell[3] .clock_enable_mode = "false";
38100
defparam \intctr_rtl_2|wysi_counter|counter_cell[3] .lut_mask = "9ca0";
38101
defparam \intctr_rtl_2|wysi_counter|counter_cell[3] .operation_mode = "clrb_cntr";
38102
defparam \intctr_rtl_2|wysi_counter|counter_cell[3] .output_mode = "none";
38103
defparam \intctr_rtl_2|wysi_counter|counter_cell[3] .packed_mode = "false";
38104
// synopsys translate_on
38105
 
38106
// atom is at LC7_E16
38107
flex10ke_lcell \intctr_rtl_2|wysi_counter|counter_cell[4] (
38108
// Equation(s):
38109
// \intctr_rtl_2|wysi_counter|counter_cell[4]~COUT  = CARRY( & (\intctr_rtl_2|wysi_counter|counter_cell[3]~COUT ))
38110
 
38111
	.dataa(\intctr_rtl_2|wysi_counter|q [5]),
38112
	.datab(\always3~0 ),
38113
	.datac(vcc),
38114
	.datad(vcc),
38115
	.aclr(gnd),
38116
	.aload(gnd),
38117
	.clk(zclk),
38118
	.cin(\intctr_rtl_2|wysi_counter|counter_cell[3]~COUT ),
38119
	.cascin(vcc),
38120
	.devclrn(devclrn),
38121
	.devpor(devpor),
38122
	.combout(),
38123
	.regout(\intctr_rtl_2|wysi_counter|q [4]),
38124
	.cout(\intctr_rtl_2|wysi_counter|counter_cell[4]~COUT ),
38125
	.cascout());
38126
// synopsys translate_off
38127
defparam \intctr_rtl_2|wysi_counter|counter_cell[4] .cin_used = "true";
38128
defparam \intctr_rtl_2|wysi_counter|counter_cell[4] .clock_enable_mode = "false";
38129
defparam \intctr_rtl_2|wysi_counter|counter_cell[4] .lut_mask = "9ca0";
38130
defparam \intctr_rtl_2|wysi_counter|counter_cell[4] .operation_mode = "clrb_cntr";
38131
defparam \intctr_rtl_2|wysi_counter|counter_cell[4] .output_mode = "none";
38132
defparam \intctr_rtl_2|wysi_counter|counter_cell[4] .packed_mode = "false";
38133
// synopsys translate_on
38134
 
38135
// atom is at LC8_E16
38136
flex10ke_lcell \intctr_rtl_2|wysi_counter|counter_cell[5] (
38137
// Equation(s):
38138
// \intctr_rtl_2|wysi_counter|q [5] = DFFEA((\intctr_rtl_2|wysi_counter|q [5] $ (!\intctr_rtl_2|wysi_counter|q [5] & \intctr_rtl_2|wysi_counter|counter_cell[4]~COUT )) & \always3~0 , GLOBAL(\clkz_in~dataout ), , , , , )
38139
 
38140
	.dataa(\intctr_rtl_2|wysi_counter|q [5]),
38141
	.datab(\always3~0 ),
38142
	.datac(vcc),
38143
	.datad(vcc),
38144
	.aclr(gnd),
38145
	.aload(gnd),
38146
	.clk(zclk),
38147
	.cin(\intctr_rtl_2|wysi_counter|counter_cell[4]~COUT ),
38148
	.cascin(vcc),
38149
	.devclrn(devclrn),
38150
	.devpor(devpor),
38151
	.combout(),
38152
	.regout(\intctr_rtl_2|wysi_counter|q [5]),
38153
	.cout(),
38154
	.cascout());
38155
// synopsys translate_off
38156
defparam \intctr_rtl_2|wysi_counter|counter_cell[5] .cin_used = "true";
38157
defparam \intctr_rtl_2|wysi_counter|counter_cell[5] .clock_enable_mode = "false";
38158
defparam \intctr_rtl_2|wysi_counter|counter_cell[5] .lut_mask = "9c9c";
38159
defparam \intctr_rtl_2|wysi_counter|counter_cell[5] .operation_mode = "clrb_cntr";
38160
defparam \intctr_rtl_2|wysi_counter|counter_cell[5] .output_mode = "reg_only";
38161
defparam \intctr_rtl_2|wysi_counter|counter_cell[5] .packed_mode = "false";
38162
// synopsys translate_on
38163
 
38164
endmodule
38165
 
38166
module zkbdmus (
38167
	musy_5,
38168
	musx_5,
38169
	musbtn_5,
38170
	musy_6,
38171
	musx_6,
38172
	musbtn_6,
38173
	musy_7,
38174
	musx_7,
38175
	musbtn_7,
38176
	mouse_buf_5,
38177
	mus_ystb,
38178
	mus_xstb,
38179
	mus_btnstb,
38180
	mouse_buf_6,
38181
	mouse_buf_7,
38182
	musy_0,
38183
	musx_0,
38184
	musbtn_0,
38185
	kj_data_0,
38186
	musy_1,
38187
	musx_1,
38188
	musbtn_1,
38189
	kj_data_1,
38190
	musy_2,
38191
	musx_2,
38192
	musbtn_2,
38193
	kj_data_2,
38194
	musy_3,
38195
	musx_3,
38196
	musbtn_3,
38197
	kj_data_3,
38198
	musy_4,
38199
	musx_4,
38200
	musbtn_4,
38201
	kj_data_4,
38202
	mouse_buf_0,
38203
	kj_stb,
38204
	mouse_buf_1,
38205
	mouse_buf_2,
38206
	mouse_buf_3,
38207
	mouse_buf_4,
38208
	kbd_34,
38209
	kbd_39,
38210
	kbd_33,
38211
	kbd_38,
38212
	kbd_37,
38213
	kbd_32,
38214
	kbd_35,
38215
	kbd_36,
38216
	kbd_26,
38217
	kbd_31,
38218
	kbd_25,
38219
	kbd_30,
38220
	kbd_29,
38221
	kbd_24,
38222
	kbd_27,
38223
	kbd_28,
38224
	kbd_18,
38225
	kbd_23,
38226
	kbd_17,
38227
	kbd_22,
38228
	kbd_21,
38229
	kbd_16,
38230
	kbd_19,
38231
	kbd_20,
38232
	kbd_10,
38233
	kbd_15,
38234
	kbd_9,
38235
	kbd_14,
38236
	kbd_13,
38237
	kbd_8,
38238
	kbd_11,
38239
	kbd_12,
38240
	kbd_2,
38241
	kbd_7,
38242
	kbd_1,
38243
	kbd_6,
38244
	kbd_5,
38245
	kbd_0,
38246
	kbd_3,
38247
	kbd_4,
38248
	kbd_reg_34,
38249
	kbd_stb,
38250
	kbd_reg_39,
38251
	kbd_reg_33,
38252
	kbd_reg_38,
38253
	kbd_reg_37,
38254
	kbd_reg_32,
38255
	kbd_reg_35,
38256
	kbd_reg_36,
38257
	kbd_reg_26,
38258
	kbd_reg_31,
38259
	kbd_reg_25,
38260
	kbd_reg_30,
38261
	kbd_reg_29,
38262
	kbd_reg_24,
38263
	kbd_reg_27,
38264
	kbd_reg_28,
38265
	kbd_reg_18,
38266
	kbd_reg_23,
38267
	kbd_reg_17,
38268
	kbd_reg_22,
38269
	kbd_reg_21,
38270
	kbd_reg_16,
38271
	kbd_reg_19,
38272
	kbd_reg_20,
38273
	kbd_reg_10,
38274
	kbd_reg_15,
38275
	kbd_reg_9,
38276
	kbd_reg_14,
38277
	kbd_reg_13,
38278
	kbd_reg_8,
38279
	kbd_reg_11,
38280
	kbd_reg_12,
38281
	kbd_reg_2,
38282
	kbd_reg_7,
38283
	kbd_reg_1,
38284
	kbd_reg_6,
38285
	kbd_reg_5,
38286
	kbd_reg_0,
38287
	kbd_reg_3,
38288
	kbd_reg_4,
38289
	fclk,
38290
	devpor,
38291
	devclrn,
38292
	devoe);
38293
output 	musy_5;
38294
output 	musx_5;
38295
output 	musbtn_5;
38296
output 	musy_6;
38297
output 	musx_6;
38298
output 	musbtn_6;
38299
output 	musy_7;
38300
output 	musx_7;
38301
output 	musbtn_7;
38302
input 	mouse_buf_5;
38303
input 	mus_ystb;
38304
input 	mus_xstb;
38305
input 	mus_btnstb;
38306
input 	mouse_buf_6;
38307
input 	mouse_buf_7;
38308
output 	musy_0;
38309
output 	musx_0;
38310
output 	musbtn_0;
38311
output 	kj_data_0;
38312
output 	musy_1;
38313
output 	musx_1;
38314
output 	musbtn_1;
38315
output 	kj_data_1;
38316
output 	musy_2;
38317
output 	musx_2;
38318
output 	musbtn_2;
38319
output 	kj_data_2;
38320
output 	musy_3;
38321
output 	musx_3;
38322
output 	musbtn_3;
38323
output 	kj_data_3;
38324
output 	musy_4;
38325
output 	musx_4;
38326
output 	musbtn_4;
38327
output 	kj_data_4;
38328
input 	mouse_buf_0;
38329
input 	kj_stb;
38330
input 	mouse_buf_1;
38331
input 	mouse_buf_2;
38332
input 	mouse_buf_3;
38333
input 	mouse_buf_4;
38334
output 	kbd_34;
38335
output 	kbd_39;
38336
output 	kbd_33;
38337
output 	kbd_38;
38338
output 	kbd_37;
38339
output 	kbd_32;
38340
output 	kbd_35;
38341
output 	kbd_36;
38342
output 	kbd_26;
38343
output 	kbd_31;
38344
output 	kbd_25;
38345
output 	kbd_30;
38346
output 	kbd_29;
38347
output 	kbd_24;
38348
output 	kbd_27;
38349
output 	kbd_28;
38350
output 	kbd_18;
38351
output 	kbd_23;
38352
output 	kbd_17;
38353
output 	kbd_22;
38354
output 	kbd_21;
38355
output 	kbd_16;
38356
output 	kbd_19;
38357
output 	kbd_20;
38358
output 	kbd_10;
38359
output 	kbd_15;
38360
output 	kbd_9;
38361
output 	kbd_14;
38362
output 	kbd_13;
38363
output 	kbd_8;
38364
output 	kbd_11;
38365
output 	kbd_12;
38366
output 	kbd_2;
38367
output 	kbd_7;
38368
output 	kbd_1;
38369
output 	kbd_6;
38370
output 	kbd_5;
38371
output 	kbd_0;
38372
output 	kbd_3;
38373
output 	kbd_4;
38374
input 	kbd_reg_34;
38375
input 	kbd_stb;
38376
input 	kbd_reg_39;
38377
input 	kbd_reg_33;
38378
input 	kbd_reg_38;
38379
input 	kbd_reg_37;
38380
input 	kbd_reg_32;
38381
input 	kbd_reg_35;
38382
input 	kbd_reg_36;
38383
input 	kbd_reg_26;
38384
input 	kbd_reg_31;
38385
input 	kbd_reg_25;
38386
input 	kbd_reg_30;
38387
input 	kbd_reg_29;
38388
input 	kbd_reg_24;
38389
input 	kbd_reg_27;
38390
input 	kbd_reg_28;
38391
input 	kbd_reg_18;
38392
input 	kbd_reg_23;
38393
input 	kbd_reg_17;
38394
input 	kbd_reg_22;
38395
input 	kbd_reg_21;
38396
input 	kbd_reg_16;
38397
input 	kbd_reg_19;
38398
input 	kbd_reg_20;
38399
input 	kbd_reg_10;
38400
input 	kbd_reg_15;
38401
input 	kbd_reg_9;
38402
input 	kbd_reg_14;
38403
input 	kbd_reg_13;
38404
input 	kbd_reg_8;
38405
input 	kbd_reg_11;
38406
input 	kbd_reg_12;
38407
input 	kbd_reg_2;
38408
input 	kbd_reg_7;
38409
input 	kbd_reg_1;
38410
input 	kbd_reg_6;
38411
input 	kbd_reg_5;
38412
input 	kbd_reg_0;
38413
input 	kbd_reg_3;
38414
input 	kbd_reg_4;
38415
input 	fclk;
38416
input 	devpor;
38417
input 	devclrn;
38418
input 	devoe;
38419
 
38420
wire gnd = 1'b0;
38421
wire vcc = 1'b1;
38422
 
38423
 
38424
 
38425
// atom is at LC1_E26
38426
flex10ke_lcell \musy[5]~I (
38427
// Equation(s):
38428
// musy_5 = DFFEA(mouse_buf_5, GLOBAL(\fclk~dataout ), , , mus_ystb, , )
38429
 
38430
	.dataa(mus_ystb),
38431
	.datab(vcc),
38432
	.datac(vcc),
38433
	.datad(mouse_buf_5),
38434
	.aclr(gnd),
38435
	.aload(gnd),
38436
	.clk(fclk),
38437
	.cin(gnd),
38438
	.cascin(vcc),
38439
	.devclrn(devclrn),
38440
	.devpor(devpor),
38441
	.combout(),
38442
	.regout(musy_5),
38443
	.cout(),
38444
	.cascout());
38445
// synopsys translate_off
38446
defparam \musy[5]~I .clock_enable_mode = "true";
38447
defparam \musy[5]~I .lut_mask = "ff00";
38448
defparam \musy[5]~I .operation_mode = "normal";
38449
defparam \musy[5]~I .output_mode = "reg_only";
38450
defparam \musy[5]~I .packed_mode = "false";
38451
// synopsys translate_on
38452
 
38453
// atom is at LC2_E26
38454
flex10ke_lcell \musx[5]~I (
38455
// Equation(s):
38456
// musx_5 = DFFEA(mouse_buf_5, GLOBAL(\fclk~dataout ), , , mus_xstb, , )
38457
 
38458
	.dataa(mus_xstb),
38459
	.datab(vcc),
38460
	.datac(vcc),
38461
	.datad(mouse_buf_5),
38462
	.aclr(gnd),
38463
	.aload(gnd),
38464
	.clk(fclk),
38465
	.cin(gnd),
38466
	.cascin(vcc),
38467
	.devclrn(devclrn),
38468
	.devpor(devpor),
38469
	.combout(),
38470
	.regout(musx_5),
38471
	.cout(),
38472
	.cascout());
38473
// synopsys translate_off
38474
defparam \musx[5]~I .clock_enable_mode = "true";
38475
defparam \musx[5]~I .lut_mask = "ff00";
38476
defparam \musx[5]~I .operation_mode = "normal";
38477
defparam \musx[5]~I .output_mode = "reg_only";
38478
defparam \musx[5]~I .packed_mode = "false";
38479
// synopsys translate_on
38480
 
38481
// atom is at LC4_E26
38482
flex10ke_lcell \musbtn[5]~I (
38483
// Equation(s):
38484
// musbtn_5 = DFFEA(mouse_buf_5, GLOBAL(\fclk~dataout ), , , mus_btnstb, , )
38485
 
38486
	.dataa(mus_btnstb),
38487
	.datab(vcc),
38488
	.datac(vcc),
38489
	.datad(mouse_buf_5),
38490
	.aclr(gnd),
38491
	.aload(gnd),
38492
	.clk(fclk),
38493
	.cin(gnd),
38494
	.cascin(vcc),
38495
	.devclrn(devclrn),
38496
	.devpor(devpor),
38497
	.combout(),
38498
	.regout(musbtn_5),
38499
	.cout(),
38500
	.cascout());
38501
// synopsys translate_off
38502
defparam \musbtn[5]~I .clock_enable_mode = "true";
38503
defparam \musbtn[5]~I .lut_mask = "ff00";
38504
defparam \musbtn[5]~I .operation_mode = "normal";
38505
defparam \musbtn[5]~I .output_mode = "reg_only";
38506
defparam \musbtn[5]~I .packed_mode = "false";
38507
// synopsys translate_on
38508
 
38509
// atom is at LC3_E31
38510
flex10ke_lcell \musy[6]~I (
38511
// Equation(s):
38512
// musy_6 = DFFEA(mouse_buf_6, GLOBAL(\fclk~dataout ), , , mus_ystb, , )
38513
 
38514
	.dataa(mus_ystb),
38515
	.datab(vcc),
38516
	.datac(vcc),
38517
	.datad(mouse_buf_6),
38518
	.aclr(gnd),
38519
	.aload(gnd),
38520
	.clk(fclk),
38521
	.cin(gnd),
38522
	.cascin(vcc),
38523
	.devclrn(devclrn),
38524
	.devpor(devpor),
38525
	.combout(),
38526
	.regout(musy_6),
38527
	.cout(),
38528
	.cascout());
38529
// synopsys translate_off
38530
defparam \musy[6]~I .clock_enable_mode = "true";
38531
defparam \musy[6]~I .lut_mask = "ff00";
38532
defparam \musy[6]~I .operation_mode = "normal";
38533
defparam \musy[6]~I .output_mode = "reg_only";
38534
defparam \musy[6]~I .packed_mode = "false";
38535
// synopsys translate_on
38536
 
38537
// atom is at LC4_E31
38538
flex10ke_lcell \musx[6]~I (
38539
// Equation(s):
38540
// musx_6 = DFFEA(mouse_buf_6, GLOBAL(\fclk~dataout ), , , mus_xstb, , )
38541
 
38542
	.dataa(mus_xstb),
38543
	.datab(vcc),
38544
	.datac(vcc),
38545
	.datad(mouse_buf_6),
38546
	.aclr(gnd),
38547
	.aload(gnd),
38548
	.clk(fclk),
38549
	.cin(gnd),
38550
	.cascin(vcc),
38551
	.devclrn(devclrn),
38552
	.devpor(devpor),
38553
	.combout(),
38554
	.regout(musx_6),
38555
	.cout(),
38556
	.cascout());
38557
// synopsys translate_off
38558
defparam \musx[6]~I .clock_enable_mode = "true";
38559
defparam \musx[6]~I .lut_mask = "ff00";
38560
defparam \musx[6]~I .operation_mode = "normal";
38561
defparam \musx[6]~I .output_mode = "reg_only";
38562
defparam \musx[6]~I .packed_mode = "false";
38563
// synopsys translate_on
38564
 
38565
// atom is at LC6_E31
38566
flex10ke_lcell \musbtn[6]~I (
38567
// Equation(s):
38568
// musbtn_6 = DFFEA(mouse_buf_6, GLOBAL(\fclk~dataout ), , , mus_btnstb, , )
38569
 
38570
	.dataa(mus_btnstb),
38571
	.datab(vcc),
38572
	.datac(vcc),
38573
	.datad(mouse_buf_6),
38574
	.aclr(gnd),
38575
	.aload(gnd),
38576
	.clk(fclk),
38577
	.cin(gnd),
38578
	.cascin(vcc),
38579
	.devclrn(devclrn),
38580
	.devpor(devpor),
38581
	.combout(),
38582
	.regout(musbtn_6),
38583
	.cout(),
38584
	.cascout());
38585
// synopsys translate_off
38586
defparam \musbtn[6]~I .clock_enable_mode = "true";
38587
defparam \musbtn[6]~I .lut_mask = "ff00";
38588
defparam \musbtn[6]~I .operation_mode = "normal";
38589
defparam \musbtn[6]~I .output_mode = "reg_only";
38590
defparam \musbtn[6]~I .packed_mode = "false";
38591
// synopsys translate_on
38592
 
38593
// atom is at LC2_E19
38594
flex10ke_lcell \musy[7]~I (
38595
// Equation(s):
38596
// musy_7 = DFFEA(mouse_buf_7, GLOBAL(\fclk~dataout ), , , mus_ystb, , )
38597
 
38598
	.dataa(mus_ystb),
38599
	.datab(vcc),
38600
	.datac(vcc),
38601
	.datad(mouse_buf_7),
38602
	.aclr(gnd),
38603
	.aload(gnd),
38604
	.clk(fclk),
38605
	.cin(gnd),
38606
	.cascin(vcc),
38607
	.devclrn(devclrn),
38608
	.devpor(devpor),
38609
	.combout(),
38610
	.regout(musy_7),
38611
	.cout(),
38612
	.cascout());
38613
// synopsys translate_off
38614
defparam \musy[7]~I .clock_enable_mode = "true";
38615
defparam \musy[7]~I .lut_mask = "ff00";
38616
defparam \musy[7]~I .operation_mode = "normal";
38617
defparam \musy[7]~I .output_mode = "reg_only";
38618
defparam \musy[7]~I .packed_mode = "false";
38619
// synopsys translate_on
38620
 
38621
// atom is at LC3_E19
38622
flex10ke_lcell \musx[7]~I (
38623
// Equation(s):
38624
// musx_7 = DFFEA(mouse_buf_7, GLOBAL(\fclk~dataout ), , , mus_xstb, , )
38625
 
38626
	.dataa(mus_xstb),
38627
	.datab(vcc),
38628
	.datac(vcc),
38629
	.datad(mouse_buf_7),
38630
	.aclr(gnd),
38631
	.aload(gnd),
38632
	.clk(fclk),
38633
	.cin(gnd),
38634
	.cascin(vcc),
38635
	.devclrn(devclrn),
38636
	.devpor(devpor),
38637
	.combout(),
38638
	.regout(musx_7),
38639
	.cout(),
38640
	.cascout());
38641
// synopsys translate_off
38642
defparam \musx[7]~I .clock_enable_mode = "true";
38643
defparam \musx[7]~I .lut_mask = "ff00";
38644
defparam \musx[7]~I .operation_mode = "normal";
38645
defparam \musx[7]~I .output_mode = "reg_only";
38646
defparam \musx[7]~I .packed_mode = "false";
38647
// synopsys translate_on
38648
 
38649
// atom is at LC6_E19
38650
flex10ke_lcell \musbtn[7]~I (
38651
// Equation(s):
38652
// musbtn_7 = DFFEA(mouse_buf_7, GLOBAL(\fclk~dataout ), , , mus_btnstb, , )
38653
 
38654
	.dataa(mus_btnstb),
38655
	.datab(vcc),
38656
	.datac(vcc),
38657
	.datad(mouse_buf_7),
38658
	.aclr(gnd),
38659
	.aload(gnd),
38660
	.clk(fclk),
38661
	.cin(gnd),
38662
	.cascin(vcc),
38663
	.devclrn(devclrn),
38664
	.devpor(devpor),
38665
	.combout(),
38666
	.regout(musbtn_7),
38667
	.cout(),
38668
	.cascout());
38669
// synopsys translate_off
38670
defparam \musbtn[7]~I .clock_enable_mode = "true";
38671
defparam \musbtn[7]~I .lut_mask = "ff00";
38672
defparam \musbtn[7]~I .operation_mode = "normal";
38673
defparam \musbtn[7]~I .output_mode = "reg_only";
38674
defparam \musbtn[7]~I .packed_mode = "false";
38675
// synopsys translate_on
38676
 
38677
// atom is at LC1_E35
38678
flex10ke_lcell \musy[0]~I (
38679
// Equation(s):
38680
// musy_0 = DFFEA(mouse_buf_0, GLOBAL(\fclk~dataout ), , , mus_ystb, , )
38681
 
38682
	.dataa(mus_ystb),
38683
	.datab(vcc),
38684
	.datac(vcc),
38685
	.datad(mouse_buf_0),
38686
	.aclr(gnd),
38687
	.aload(gnd),
38688
	.clk(fclk),
38689
	.cin(gnd),
38690
	.cascin(vcc),
38691
	.devclrn(devclrn),
38692
	.devpor(devpor),
38693
	.combout(),
38694
	.regout(musy_0),
38695
	.cout(),
38696
	.cascout());
38697
// synopsys translate_off
38698
defparam \musy[0]~I .clock_enable_mode = "true";
38699
defparam \musy[0]~I .lut_mask = "ff00";
38700
defparam \musy[0]~I .operation_mode = "normal";
38701
defparam \musy[0]~I .output_mode = "reg_only";
38702
defparam \musy[0]~I .packed_mode = "false";
38703
// synopsys translate_on
38704
 
38705
// atom is at LC2_E35
38706
flex10ke_lcell \musx[0]~I (
38707
// Equation(s):
38708
// musx_0 = DFFEA(mouse_buf_0, GLOBAL(\fclk~dataout ), , , mus_xstb, , )
38709
 
38710
	.dataa(mus_xstb),
38711
	.datab(vcc),
38712
	.datac(vcc),
38713
	.datad(mouse_buf_0),
38714
	.aclr(gnd),
38715
	.aload(gnd),
38716
	.clk(fclk),
38717
	.cin(gnd),
38718
	.cascin(vcc),
38719
	.devclrn(devclrn),
38720
	.devpor(devpor),
38721
	.combout(),
38722
	.regout(musx_0),
38723
	.cout(),
38724
	.cascout());
38725
// synopsys translate_off
38726
defparam \musx[0]~I .clock_enable_mode = "true";
38727
defparam \musx[0]~I .lut_mask = "ff00";
38728
defparam \musx[0]~I .operation_mode = "normal";
38729
defparam \musx[0]~I .output_mode = "reg_only";
38730
defparam \musx[0]~I .packed_mode = "false";
38731
// synopsys translate_on
38732
 
38733
// atom is at LC4_E35
38734
flex10ke_lcell \musbtn[0]~I (
38735
// Equation(s):
38736
// musbtn_0 = DFFEA(mouse_buf_0, GLOBAL(\fclk~dataout ), , , mus_btnstb, , )
38737
 
38738
	.dataa(mus_btnstb),
38739
	.datab(vcc),
38740
	.datac(vcc),
38741
	.datad(mouse_buf_0),
38742
	.aclr(gnd),
38743
	.aload(gnd),
38744
	.clk(fclk),
38745
	.cin(gnd),
38746
	.cascin(vcc),
38747
	.devclrn(devclrn),
38748
	.devpor(devpor),
38749
	.combout(),
38750
	.regout(musbtn_0),
38751
	.cout(),
38752
	.cascout());
38753
// synopsys translate_off
38754
defparam \musbtn[0]~I .clock_enable_mode = "true";
38755
defparam \musbtn[0]~I .lut_mask = "ff00";
38756
defparam \musbtn[0]~I .operation_mode = "normal";
38757
defparam \musbtn[0]~I .output_mode = "reg_only";
38758
defparam \musbtn[0]~I .packed_mode = "false";
38759
// synopsys translate_on
38760
 
38761
// atom is at LC8_E35
38762
flex10ke_lcell \kj_data[0]~I (
38763
// Equation(s):
38764
// kj_data_0 = DFFEA(mouse_buf_0, GLOBAL(\fclk~dataout ), , , kj_stb, , )
38765
 
38766
	.dataa(kj_stb),
38767
	.datab(vcc),
38768
	.datac(vcc),
38769
	.datad(mouse_buf_0),
38770
	.aclr(gnd),
38771
	.aload(gnd),
38772
	.clk(fclk),
38773
	.cin(gnd),
38774
	.cascin(vcc),
38775
	.devclrn(devclrn),
38776
	.devpor(devpor),
38777
	.combout(),
38778
	.regout(kj_data_0),
38779
	.cout(),
38780
	.cascout());
38781
// synopsys translate_off
38782
defparam \kj_data[0]~I .clock_enable_mode = "true";
38783
defparam \kj_data[0]~I .lut_mask = "ff00";
38784
defparam \kj_data[0]~I .operation_mode = "normal";
38785
defparam \kj_data[0]~I .output_mode = "reg_only";
38786
defparam \kj_data[0]~I .packed_mode = "false";
38787
// synopsys translate_on
38788
 
38789
// atom is at LC7_E31
38790
flex10ke_lcell \musy[1]~I (
38791
// Equation(s):
38792
// musy_1 = DFFEA(mouse_buf_1, GLOBAL(\fclk~dataout ), , , mus_ystb, , )
38793
 
38794
	.dataa(mus_ystb),
38795
	.datab(vcc),
38796
	.datac(vcc),
38797
	.datad(mouse_buf_1),
38798
	.aclr(gnd),
38799
	.aload(gnd),
38800
	.clk(fclk),
38801
	.cin(gnd),
38802
	.cascin(vcc),
38803
	.devclrn(devclrn),
38804
	.devpor(devpor),
38805
	.combout(),
38806
	.regout(musy_1),
38807
	.cout(),
38808
	.cascout());
38809
// synopsys translate_off
38810
defparam \musy[1]~I .clock_enable_mode = "true";
38811
defparam \musy[1]~I .lut_mask = "ff00";
38812
defparam \musy[1]~I .operation_mode = "normal";
38813
defparam \musy[1]~I .output_mode = "reg_only";
38814
defparam \musy[1]~I .packed_mode = "false";
38815
// synopsys translate_on
38816
 
38817
// atom is at LC8_E31
38818
flex10ke_lcell \musx[1]~I (
38819
// Equation(s):
38820
// musx_1 = DFFEA(mouse_buf_1, GLOBAL(\fclk~dataout ), , , mus_xstb, , )
38821
 
38822
	.dataa(mus_xstb),
38823
	.datab(vcc),
38824
	.datac(vcc),
38825
	.datad(mouse_buf_1),
38826
	.aclr(gnd),
38827
	.aload(gnd),
38828
	.clk(fclk),
38829
	.cin(gnd),
38830
	.cascin(vcc),
38831
	.devclrn(devclrn),
38832
	.devpor(devpor),
38833
	.combout(),
38834
	.regout(musx_1),
38835
	.cout(),
38836
	.cascout());
38837
// synopsys translate_off
38838
defparam \musx[1]~I .clock_enable_mode = "true";
38839
defparam \musx[1]~I .lut_mask = "ff00";
38840
defparam \musx[1]~I .operation_mode = "normal";
38841
defparam \musx[1]~I .output_mode = "reg_only";
38842
defparam \musx[1]~I .packed_mode = "false";
38843
// synopsys translate_on
38844
 
38845
// atom is at LC4_I32
38846
flex10ke_lcell \musbtn[1]~I (
38847
// Equation(s):
38848
// musbtn_1 = DFFEA(mouse_buf_1, GLOBAL(\fclk~dataout ), , , mus_btnstb, , )
38849
 
38850
	.dataa(mus_btnstb),
38851
	.datab(vcc),
38852
	.datac(vcc),
38853
	.datad(mouse_buf_1),
38854
	.aclr(gnd),
38855
	.aload(gnd),
38856
	.clk(fclk),
38857
	.cin(gnd),
38858
	.cascin(vcc),
38859
	.devclrn(devclrn),
38860
	.devpor(devpor),
38861
	.combout(),
38862
	.regout(musbtn_1),
38863
	.cout(),
38864
	.cascout());
38865
// synopsys translate_off
38866
defparam \musbtn[1]~I .clock_enable_mode = "true";
38867
defparam \musbtn[1]~I .lut_mask = "ff00";
38868
defparam \musbtn[1]~I .operation_mode = "normal";
38869
defparam \musbtn[1]~I .output_mode = "reg_only";
38870
defparam \musbtn[1]~I .packed_mode = "false";
38871
// synopsys translate_on
38872
 
38873
// atom is at LC8_H33
38874
flex10ke_lcell \kj_data[1]~I (
38875
// Equation(s):
38876
// kj_data_1 = DFFEA(mouse_buf_1, GLOBAL(\fclk~dataout ), , , kj_stb, , )
38877
 
38878
	.dataa(kj_stb),
38879
	.datab(vcc),
38880
	.datac(vcc),
38881
	.datad(mouse_buf_1),
38882
	.aclr(gnd),
38883
	.aload(gnd),
38884
	.clk(fclk),
38885
	.cin(gnd),
38886
	.cascin(vcc),
38887
	.devclrn(devclrn),
38888
	.devpor(devpor),
38889
	.combout(),
38890
	.regout(kj_data_1),
38891
	.cout(),
38892
	.cascout());
38893
// synopsys translate_off
38894
defparam \kj_data[1]~I .clock_enable_mode = "true";
38895
defparam \kj_data[1]~I .lut_mask = "ff00";
38896
defparam \kj_data[1]~I .operation_mode = "normal";
38897
defparam \kj_data[1]~I .output_mode = "reg_only";
38898
defparam \kj_data[1]~I .packed_mode = "false";
38899
// synopsys translate_on
38900
 
38901
// atom is at LC1_E34
38902
flex10ke_lcell \musy[2]~I (
38903
// Equation(s):
38904
// musy_2 = DFFEA(mouse_buf_2, GLOBAL(\fclk~dataout ), , , mus_ystb, , )
38905
 
38906
	.dataa(mus_ystb),
38907
	.datab(vcc),
38908
	.datac(vcc),
38909
	.datad(mouse_buf_2),
38910
	.aclr(gnd),
38911
	.aload(gnd),
38912
	.clk(fclk),
38913
	.cin(gnd),
38914
	.cascin(vcc),
38915
	.devclrn(devclrn),
38916
	.devpor(devpor),
38917
	.combout(),
38918
	.regout(musy_2),
38919
	.cout(),
38920
	.cascout());
38921
// synopsys translate_off
38922
defparam \musy[2]~I .clock_enable_mode = "true";
38923
defparam \musy[2]~I .lut_mask = "ff00";
38924
defparam \musy[2]~I .operation_mode = "normal";
38925
defparam \musy[2]~I .output_mode = "reg_only";
38926
defparam \musy[2]~I .packed_mode = "false";
38927
// synopsys translate_on
38928
 
38929
// atom is at LC2_E34
38930
flex10ke_lcell \musx[2]~I (
38931
// Equation(s):
38932
// musx_2 = DFFEA(mouse_buf_2, GLOBAL(\fclk~dataout ), , , mus_xstb, , )
38933
 
38934
	.dataa(mus_xstb),
38935
	.datab(vcc),
38936
	.datac(vcc),
38937
	.datad(mouse_buf_2),
38938
	.aclr(gnd),
38939
	.aload(gnd),
38940
	.clk(fclk),
38941
	.cin(gnd),
38942
	.cascin(vcc),
38943
	.devclrn(devclrn),
38944
	.devpor(devpor),
38945
	.combout(),
38946
	.regout(musx_2),
38947
	.cout(),
38948
	.cascout());
38949
// synopsys translate_off
38950
defparam \musx[2]~I .clock_enable_mode = "true";
38951
defparam \musx[2]~I .lut_mask = "ff00";
38952
defparam \musx[2]~I .operation_mode = "normal";
38953
defparam \musx[2]~I .output_mode = "reg_only";
38954
defparam \musx[2]~I .packed_mode = "false";
38955
// synopsys translate_on
38956
 
38957
// atom is at LC4_E34
38958
flex10ke_lcell \musbtn[2]~I (
38959
// Equation(s):
38960
// musbtn_2 = DFFEA(mouse_buf_2, GLOBAL(\fclk~dataout ), , , mus_btnstb, , )
38961
 
38962
	.dataa(mus_btnstb),
38963
	.datab(vcc),
38964
	.datac(vcc),
38965
	.datad(mouse_buf_2),
38966
	.aclr(gnd),
38967
	.aload(gnd),
38968
	.clk(fclk),
38969
	.cin(gnd),
38970
	.cascin(vcc),
38971
	.devclrn(devclrn),
38972
	.devpor(devpor),
38973
	.combout(),
38974
	.regout(musbtn_2),
38975
	.cout(),
38976
	.cascout());
38977
// synopsys translate_off
38978
defparam \musbtn[2]~I .clock_enable_mode = "true";
38979
defparam \musbtn[2]~I .lut_mask = "ff00";
38980
defparam \musbtn[2]~I .operation_mode = "normal";
38981
defparam \musbtn[2]~I .output_mode = "reg_only";
38982
defparam \musbtn[2]~I .packed_mode = "false";
38983
// synopsys translate_on
38984
 
38985
// atom is at LC6_E34
38986
flex10ke_lcell \kj_data[2]~I (
38987
// Equation(s):
38988
// kj_data_2 = DFFEA(mouse_buf_2, GLOBAL(\fclk~dataout ), , , kj_stb, , )
38989
 
38990
	.dataa(kj_stb),
38991
	.datab(vcc),
38992
	.datac(vcc),
38993
	.datad(mouse_buf_2),
38994
	.aclr(gnd),
38995
	.aload(gnd),
38996
	.clk(fclk),
38997
	.cin(gnd),
38998
	.cascin(vcc),
38999
	.devclrn(devclrn),
39000
	.devpor(devpor),
39001
	.combout(),
39002
	.regout(kj_data_2),
39003
	.cout(),
39004
	.cascout());
39005
// synopsys translate_off
39006
defparam \kj_data[2]~I .clock_enable_mode = "true";
39007
defparam \kj_data[2]~I .lut_mask = "ff00";
39008
defparam \kj_data[2]~I .operation_mode = "normal";
39009
defparam \kj_data[2]~I .output_mode = "reg_only";
39010
defparam \kj_data[2]~I .packed_mode = "false";
39011
// synopsys translate_on
39012
 
39013
// atom is at LC1_E29
39014
flex10ke_lcell \musy[3]~I (
39015
// Equation(s):
39016
// musy_3 = DFFEA(mouse_buf_3, GLOBAL(\fclk~dataout ), , , mus_ystb, , )
39017
 
39018
	.dataa(mus_ystb),
39019
	.datab(vcc),
39020
	.datac(vcc),
39021
	.datad(mouse_buf_3),
39022
	.aclr(gnd),
39023
	.aload(gnd),
39024
	.clk(fclk),
39025
	.cin(gnd),
39026
	.cascin(vcc),
39027
	.devclrn(devclrn),
39028
	.devpor(devpor),
39029
	.combout(),
39030
	.regout(musy_3),
39031
	.cout(),
39032
	.cascout());
39033
// synopsys translate_off
39034
defparam \musy[3]~I .clock_enable_mode = "true";
39035
defparam \musy[3]~I .lut_mask = "ff00";
39036
defparam \musy[3]~I .operation_mode = "normal";
39037
defparam \musy[3]~I .output_mode = "reg_only";
39038
defparam \musy[3]~I .packed_mode = "false";
39039
// synopsys translate_on
39040
 
39041
// atom is at LC2_E29
39042
flex10ke_lcell \musx[3]~I (
39043
// Equation(s):
39044
// musx_3 = DFFEA(mouse_buf_3, GLOBAL(\fclk~dataout ), , , mus_xstb, , )
39045
 
39046
	.dataa(mus_xstb),
39047
	.datab(vcc),
39048
	.datac(vcc),
39049
	.datad(mouse_buf_3),
39050
	.aclr(gnd),
39051
	.aload(gnd),
39052
	.clk(fclk),
39053
	.cin(gnd),
39054
	.cascin(vcc),
39055
	.devclrn(devclrn),
39056
	.devpor(devpor),
39057
	.combout(),
39058
	.regout(musx_3),
39059
	.cout(),
39060
	.cascout());
39061
// synopsys translate_off
39062
defparam \musx[3]~I .clock_enable_mode = "true";
39063
defparam \musx[3]~I .lut_mask = "ff00";
39064
defparam \musx[3]~I .operation_mode = "normal";
39065
defparam \musx[3]~I .output_mode = "reg_only";
39066
defparam \musx[3]~I .packed_mode = "false";
39067
// synopsys translate_on
39068
 
39069
// atom is at LC4_E29
39070
flex10ke_lcell \musbtn[3]~I (
39071
// Equation(s):
39072
// musbtn_3 = DFFEA(mouse_buf_3, GLOBAL(\fclk~dataout ), , , mus_btnstb, , )
39073
 
39074
	.dataa(mus_btnstb),
39075
	.datab(vcc),
39076
	.datac(vcc),
39077
	.datad(mouse_buf_3),
39078
	.aclr(gnd),
39079
	.aload(gnd),
39080
	.clk(fclk),
39081
	.cin(gnd),
39082
	.cascin(vcc),
39083
	.devclrn(devclrn),
39084
	.devpor(devpor),
39085
	.combout(),
39086
	.regout(musbtn_3),
39087
	.cout(),
39088
	.cascout());
39089
// synopsys translate_off
39090
defparam \musbtn[3]~I .clock_enable_mode = "true";
39091
defparam \musbtn[3]~I .lut_mask = "ff00";
39092
defparam \musbtn[3]~I .operation_mode = "normal";
39093
defparam \musbtn[3]~I .output_mode = "reg_only";
39094
defparam \musbtn[3]~I .packed_mode = "false";
39095
// synopsys translate_on
39096
 
39097
// atom is at LC8_E29
39098
flex10ke_lcell \kj_data[3]~I (
39099
// Equation(s):
39100
// kj_data_3 = DFFEA(mouse_buf_3, GLOBAL(\fclk~dataout ), , , kj_stb, , )
39101
 
39102
	.dataa(kj_stb),
39103
	.datab(vcc),
39104
	.datac(vcc),
39105
	.datad(mouse_buf_3),
39106
	.aclr(gnd),
39107
	.aload(gnd),
39108
	.clk(fclk),
39109
	.cin(gnd),
39110
	.cascin(vcc),
39111
	.devclrn(devclrn),
39112
	.devpor(devpor),
39113
	.combout(),
39114
	.regout(kj_data_3),
39115
	.cout(),
39116
	.cascout());
39117
// synopsys translate_off
39118
defparam \kj_data[3]~I .clock_enable_mode = "true";
39119
defparam \kj_data[3]~I .lut_mask = "ff00";
39120
defparam \kj_data[3]~I .operation_mode = "normal";
39121
defparam \kj_data[3]~I .output_mode = "reg_only";
39122
defparam \kj_data[3]~I .packed_mode = "false";
39123
// synopsys translate_on
39124
 
39125
// atom is at LC7_E19
39126
flex10ke_lcell \musy[4]~I (
39127
// Equation(s):
39128
// musy_4 = DFFEA(mouse_buf_4, GLOBAL(\fclk~dataout ), , , mus_ystb, , )
39129
 
39130
	.dataa(mus_ystb),
39131
	.datab(vcc),
39132
	.datac(vcc),
39133
	.datad(mouse_buf_4),
39134
	.aclr(gnd),
39135
	.aload(gnd),
39136
	.clk(fclk),
39137
	.cin(gnd),
39138
	.cascin(vcc),
39139
	.devclrn(devclrn),
39140
	.devpor(devpor),
39141
	.combout(),
39142
	.regout(musy_4),
39143
	.cout(),
39144
	.cascout());
39145
// synopsys translate_off
39146
defparam \musy[4]~I .clock_enable_mode = "true";
39147
defparam \musy[4]~I .lut_mask = "ff00";
39148
defparam \musy[4]~I .operation_mode = "normal";
39149
defparam \musy[4]~I .output_mode = "reg_only";
39150
defparam \musy[4]~I .packed_mode = "false";
39151
// synopsys translate_on
39152
 
39153
// atom is at LC8_E19
39154
flex10ke_lcell \musx[4]~I (
39155
// Equation(s):
39156
// musx_4 = DFFEA(mouse_buf_4, GLOBAL(\fclk~dataout ), , , mus_xstb, , )
39157
 
39158
	.dataa(mus_xstb),
39159
	.datab(vcc),
39160
	.datac(vcc),
39161
	.datad(mouse_buf_4),
39162
	.aclr(gnd),
39163
	.aload(gnd),
39164
	.clk(fclk),
39165
	.cin(gnd),
39166
	.cascin(vcc),
39167
	.devclrn(devclrn),
39168
	.devpor(devpor),
39169
	.combout(),
39170
	.regout(musx_4),
39171
	.cout(),
39172
	.cascout());
39173
// synopsys translate_off
39174
defparam \musx[4]~I .clock_enable_mode = "true";
39175
defparam \musx[4]~I .lut_mask = "ff00";
39176
defparam \musx[4]~I .operation_mode = "normal";
39177
defparam \musx[4]~I .output_mode = "reg_only";
39178
defparam \musx[4]~I .packed_mode = "false";
39179
// synopsys translate_on
39180
 
39181
// atom is at LC7_E26
39182
flex10ke_lcell \musbtn[4]~I (
39183
// Equation(s):
39184
// musbtn_4 = DFFEA(mouse_buf_4, GLOBAL(\fclk~dataout ), , , mus_btnstb, , )
39185
 
39186
	.dataa(mus_btnstb),
39187
	.datab(vcc),
39188
	.datac(vcc),
39189
	.datad(mouse_buf_4),
39190
	.aclr(gnd),
39191
	.aload(gnd),
39192
	.clk(fclk),
39193
	.cin(gnd),
39194
	.cascin(vcc),
39195
	.devclrn(devclrn),
39196
	.devpor(devpor),
39197
	.combout(),
39198
	.regout(musbtn_4),
39199
	.cout(),
39200
	.cascout());
39201
// synopsys translate_off
39202
defparam \musbtn[4]~I .clock_enable_mode = "true";
39203
defparam \musbtn[4]~I .lut_mask = "ff00";
39204
defparam \musbtn[4]~I .operation_mode = "normal";
39205
defparam \musbtn[4]~I .output_mode = "reg_only";
39206
defparam \musbtn[4]~I .packed_mode = "false";
39207
// synopsys translate_on
39208
 
39209
// atom is at LC4_A23
39210
flex10ke_lcell \kj_data[4]~I (
39211
// Equation(s):
39212
// kj_data_4 = DFFEA(mouse_buf_4, GLOBAL(\fclk~dataout ), , , kj_stb, , )
39213
 
39214
	.dataa(kj_stb),
39215
	.datab(vcc),
39216
	.datac(vcc),
39217
	.datad(mouse_buf_4),
39218
	.aclr(gnd),
39219
	.aload(gnd),
39220
	.clk(fclk),
39221
	.cin(gnd),
39222
	.cascin(vcc),
39223
	.devclrn(devclrn),
39224
	.devpor(devpor),
39225
	.combout(),
39226
	.regout(kj_data_4),
39227
	.cout(),
39228
	.cascout());
39229
// synopsys translate_off
39230
defparam \kj_data[4]~I .clock_enable_mode = "true";
39231
defparam \kj_data[4]~I .lut_mask = "ff00";
39232
defparam \kj_data[4]~I .operation_mode = "normal";
39233
defparam \kj_data[4]~I .output_mode = "reg_only";
39234
defparam \kj_data[4]~I .packed_mode = "false";
39235
// synopsys translate_on
39236
 
39237
// atom is at LC1_I25
39238
flex10ke_lcell \kbd[34]~I (
39239
// Equation(s):
39240
// kbd_34 = DFFEA(kbd_reg_34, GLOBAL(\fclk~dataout ), , , kbd_stb, , )
39241
 
39242
	.dataa(kbd_stb),
39243
	.datab(vcc),
39244
	.datac(vcc),
39245
	.datad(kbd_reg_34),
39246
	.aclr(gnd),
39247
	.aload(gnd),
39248
	.clk(fclk),
39249
	.cin(gnd),
39250
	.cascin(vcc),
39251
	.devclrn(devclrn),
39252
	.devpor(devpor),
39253
	.combout(),
39254
	.regout(kbd_34),
39255
	.cout(),
39256
	.cascout());
39257
// synopsys translate_off
39258
defparam \kbd[34]~I .clock_enable_mode = "true";
39259
defparam \kbd[34]~I .lut_mask = "ff00";
39260
defparam \kbd[34]~I .operation_mode = "normal";
39261
defparam \kbd[34]~I .output_mode = "reg_only";
39262
defparam \kbd[34]~I .packed_mode = "false";
39263
// synopsys translate_on
39264
 
39265
// atom is at LC2_I30
39266
flex10ke_lcell \kbd[39]~I (
39267
// Equation(s):
39268
// kbd_39 = DFFEA(kbd_reg_39, GLOBAL(\fclk~dataout ), , , kbd_stb, , )
39269
 
39270
	.dataa(kbd_stb),
39271
	.datab(vcc),
39272
	.datac(vcc),
39273
	.datad(kbd_reg_39),
39274
	.aclr(gnd),
39275
	.aload(gnd),
39276
	.clk(fclk),
39277
	.cin(gnd),
39278
	.cascin(vcc),
39279
	.devclrn(devclrn),
39280
	.devpor(devpor),
39281
	.combout(),
39282
	.regout(kbd_39),
39283
	.cout(),
39284
	.cascout());
39285
// synopsys translate_off
39286
defparam \kbd[39]~I .clock_enable_mode = "true";
39287
defparam \kbd[39]~I .lut_mask = "ff00";
39288
defparam \kbd[39]~I .operation_mode = "normal";
39289
defparam \kbd[39]~I .output_mode = "reg_only";
39290
defparam \kbd[39]~I .packed_mode = "false";
39291
// synopsys translate_on
39292
 
39293
// atom is at LC5_I30
39294
flex10ke_lcell \kbd[33]~I (
39295
// Equation(s):
39296
// kbd_33 = DFFEA(kbd_reg_33, GLOBAL(\fclk~dataout ), , , kbd_stb, , )
39297
 
39298
	.dataa(kbd_stb),
39299
	.datab(vcc),
39300
	.datac(vcc),
39301
	.datad(kbd_reg_33),
39302
	.aclr(gnd),
39303
	.aload(gnd),
39304
	.clk(fclk),
39305
	.cin(gnd),
39306
	.cascin(vcc),
39307
	.devclrn(devclrn),
39308
	.devpor(devpor),
39309
	.combout(),
39310
	.regout(kbd_33),
39311
	.cout(),
39312
	.cascout());
39313
// synopsys translate_off
39314
defparam \kbd[33]~I .clock_enable_mode = "true";
39315
defparam \kbd[33]~I .lut_mask = "ff00";
39316
defparam \kbd[33]~I .operation_mode = "normal";
39317
defparam \kbd[33]~I .output_mode = "reg_only";
39318
defparam \kbd[33]~I .packed_mode = "false";
39319
// synopsys translate_on
39320
 
39321
// atom is at LC6_I30
39322
flex10ke_lcell \kbd[38]~I (
39323
// Equation(s):
39324
// kbd_38 = DFFEA(kbd_reg_38, GLOBAL(\fclk~dataout ), , , kbd_stb, , )
39325
 
39326
	.dataa(kbd_stb),
39327
	.datab(vcc),
39328
	.datac(vcc),
39329
	.datad(kbd_reg_38),
39330
	.aclr(gnd),
39331
	.aload(gnd),
39332
	.clk(fclk),
39333
	.cin(gnd),
39334
	.cascin(vcc),
39335
	.devclrn(devclrn),
39336
	.devpor(devpor),
39337
	.combout(),
39338
	.regout(kbd_38),
39339
	.cout(),
39340
	.cascout());
39341
// synopsys translate_off
39342
defparam \kbd[38]~I .clock_enable_mode = "true";
39343
defparam \kbd[38]~I .lut_mask = "ff00";
39344
defparam \kbd[38]~I .operation_mode = "normal";
39345
defparam \kbd[38]~I .output_mode = "reg_only";
39346
defparam \kbd[38]~I .packed_mode = "false";
39347
// synopsys translate_on
39348
 
39349
// atom is at LC5_I32
39350
flex10ke_lcell \kbd[37]~I (
39351
// Equation(s):
39352
// kbd_37 = DFFEA(kbd_reg_37, GLOBAL(\fclk~dataout ), , , kbd_stb, , )
39353
 
39354
	.dataa(kbd_stb),
39355
	.datab(vcc),
39356
	.datac(vcc),
39357
	.datad(kbd_reg_37),
39358
	.aclr(gnd),
39359
	.aload(gnd),
39360
	.clk(fclk),
39361
	.cin(gnd),
39362
	.cascin(vcc),
39363
	.devclrn(devclrn),
39364
	.devpor(devpor),
39365
	.combout(),
39366
	.regout(kbd_37),
39367
	.cout(),
39368
	.cascout());
39369
// synopsys translate_off
39370
defparam \kbd[37]~I .clock_enable_mode = "true";
39371
defparam \kbd[37]~I .lut_mask = "ff00";
39372
defparam \kbd[37]~I .operation_mode = "normal";
39373
defparam \kbd[37]~I .output_mode = "reg_only";
39374
defparam \kbd[37]~I .packed_mode = "false";
39375
// synopsys translate_on
39376
 
39377
// atom is at LC6_I32
39378
flex10ke_lcell \kbd[32]~I (
39379
// Equation(s):
39380
// kbd_32 = DFFEA(kbd_reg_32, GLOBAL(\fclk~dataout ), , , kbd_stb, , )
39381
 
39382
	.dataa(kbd_stb),
39383
	.datab(vcc),
39384
	.datac(vcc),
39385
	.datad(kbd_reg_32),
39386
	.aclr(gnd),
39387
	.aload(gnd),
39388
	.clk(fclk),
39389
	.cin(gnd),
39390
	.cascin(vcc),
39391
	.devclrn(devclrn),
39392
	.devpor(devpor),
39393
	.combout(),
39394
	.regout(kbd_32),
39395
	.cout(),
39396
	.cascout());
39397
// synopsys translate_off
39398
defparam \kbd[32]~I .clock_enable_mode = "true";
39399
defparam \kbd[32]~I .lut_mask = "ff00";
39400
defparam \kbd[32]~I .operation_mode = "normal";
39401
defparam \kbd[32]~I .output_mode = "reg_only";
39402
defparam \kbd[32]~I .packed_mode = "false";
39403
// synopsys translate_on
39404
 
39405
// atom is at LC7_I25
39406
flex10ke_lcell \kbd[35]~I (
39407
// Equation(s):
39408
// kbd_35 = DFFEA(kbd_reg_35, GLOBAL(\fclk~dataout ), , , kbd_stb, , )
39409
 
39410
	.dataa(kbd_stb),
39411
	.datab(vcc),
39412
	.datac(vcc),
39413
	.datad(kbd_reg_35),
39414
	.aclr(gnd),
39415
	.aload(gnd),
39416
	.clk(fclk),
39417
	.cin(gnd),
39418
	.cascin(vcc),
39419
	.devclrn(devclrn),
39420
	.devpor(devpor),
39421
	.combout(),
39422
	.regout(kbd_35),
39423
	.cout(),
39424
	.cascout());
39425
// synopsys translate_off
39426
defparam \kbd[35]~I .clock_enable_mode = "true";
39427
defparam \kbd[35]~I .lut_mask = "ff00";
39428
defparam \kbd[35]~I .operation_mode = "normal";
39429
defparam \kbd[35]~I .output_mode = "reg_only";
39430
defparam \kbd[35]~I .packed_mode = "false";
39431
// synopsys translate_on
39432
 
39433
// atom is at LC6_I25
39434
flex10ke_lcell \kbd[36]~I (
39435
// Equation(s):
39436
// kbd_36 = DFFEA(kbd_reg_36, GLOBAL(\fclk~dataout ), , , kbd_stb, , )
39437
 
39438
	.dataa(kbd_stb),
39439
	.datab(vcc),
39440
	.datac(vcc),
39441
	.datad(kbd_reg_36),
39442
	.aclr(gnd),
39443
	.aload(gnd),
39444
	.clk(fclk),
39445
	.cin(gnd),
39446
	.cascin(vcc),
39447
	.devclrn(devclrn),
39448
	.devpor(devpor),
39449
	.combout(),
39450
	.regout(kbd_36),
39451
	.cout(),
39452
	.cascout());
39453
// synopsys translate_off
39454
defparam \kbd[36]~I .clock_enable_mode = "true";
39455
defparam \kbd[36]~I .lut_mask = "ff00";
39456
defparam \kbd[36]~I .operation_mode = "normal";
39457
defparam \kbd[36]~I .output_mode = "reg_only";
39458
defparam \kbd[36]~I .packed_mode = "false";
39459
// synopsys translate_on
39460
 
39461
// atom is at LC2_I34
39462
flex10ke_lcell \kbd[26]~I (
39463
// Equation(s):
39464
// kbd_26 = DFFEA(kbd_reg_26, GLOBAL(\fclk~dataout ), , , kbd_stb, , )
39465
 
39466
	.dataa(kbd_stb),
39467
	.datab(vcc),
39468
	.datac(vcc),
39469
	.datad(kbd_reg_26),
39470
	.aclr(gnd),
39471
	.aload(gnd),
39472
	.clk(fclk),
39473
	.cin(gnd),
39474
	.cascin(vcc),
39475
	.devclrn(devclrn),
39476
	.devpor(devpor),
39477
	.combout(),
39478
	.regout(kbd_26),
39479
	.cout(),
39480
	.cascout());
39481
// synopsys translate_off
39482
defparam \kbd[26]~I .clock_enable_mode = "true";
39483
defparam \kbd[26]~I .lut_mask = "ff00";
39484
defparam \kbd[26]~I .operation_mode = "normal";
39485
defparam \kbd[26]~I .output_mode = "reg_only";
39486
defparam \kbd[26]~I .packed_mode = "false";
39487
// synopsys translate_on
39488
 
39489
// atom is at LC4_I36
39490
flex10ke_lcell \kbd[31]~I (
39491
// Equation(s):
39492
// kbd_31 = DFFEA(kbd_reg_31, GLOBAL(\fclk~dataout ), , , kbd_stb, , )
39493
 
39494
	.dataa(kbd_stb),
39495
	.datab(vcc),
39496
	.datac(vcc),
39497
	.datad(kbd_reg_31),
39498
	.aclr(gnd),
39499
	.aload(gnd),
39500
	.clk(fclk),
39501
	.cin(gnd),
39502
	.cascin(vcc),
39503
	.devclrn(devclrn),
39504
	.devpor(devpor),
39505
	.combout(),
39506
	.regout(kbd_31),
39507
	.cout(),
39508
	.cascout());
39509
// synopsys translate_off
39510
defparam \kbd[31]~I .clock_enable_mode = "true";
39511
defparam \kbd[31]~I .lut_mask = "ff00";
39512
defparam \kbd[31]~I .operation_mode = "normal";
39513
defparam \kbd[31]~I .output_mode = "reg_only";
39514
defparam \kbd[31]~I .packed_mode = "false";
39515
// synopsys translate_on
39516
 
39517
// atom is at LC1_I34
39518
flex10ke_lcell \kbd[25]~I (
39519
// Equation(s):
39520
// kbd_25 = DFFEA(kbd_reg_25, GLOBAL(\fclk~dataout ), , , kbd_stb, , )
39521
 
39522
	.dataa(kbd_stb),
39523
	.datab(vcc),
39524
	.datac(vcc),
39525
	.datad(kbd_reg_25),
39526
	.aclr(gnd),
39527
	.aload(gnd),
39528
	.clk(fclk),
39529
	.cin(gnd),
39530
	.cascin(vcc),
39531
	.devclrn(devclrn),
39532
	.devpor(devpor),
39533
	.combout(),
39534
	.regout(kbd_25),
39535
	.cout(),
39536
	.cascout());
39537
// synopsys translate_off
39538
defparam \kbd[25]~I .clock_enable_mode = "true";
39539
defparam \kbd[25]~I .lut_mask = "ff00";
39540
defparam \kbd[25]~I .operation_mode = "normal";
39541
defparam \kbd[25]~I .output_mode = "reg_only";
39542
defparam \kbd[25]~I .packed_mode = "false";
39543
// synopsys translate_on
39544
 
39545
// atom is at LC5_I36
39546
flex10ke_lcell \kbd[30]~I (
39547
// Equation(s):
39548
// kbd_30 = DFFEA(kbd_reg_30, GLOBAL(\fclk~dataout ), , , kbd_stb, , )
39549
 
39550
	.dataa(kbd_stb),
39551
	.datab(vcc),
39552
	.datac(vcc),
39553
	.datad(kbd_reg_30),
39554
	.aclr(gnd),
39555
	.aload(gnd),
39556
	.clk(fclk),
39557
	.cin(gnd),
39558
	.cascin(vcc),
39559
	.devclrn(devclrn),
39560
	.devpor(devpor),
39561
	.combout(),
39562
	.regout(kbd_30),
39563
	.cout(),
39564
	.cascout());
39565
// synopsys translate_off
39566
defparam \kbd[30]~I .clock_enable_mode = "true";
39567
defparam \kbd[30]~I .lut_mask = "ff00";
39568
defparam \kbd[30]~I .operation_mode = "normal";
39569
defparam \kbd[30]~I .output_mode = "reg_only";
39570
defparam \kbd[30]~I .packed_mode = "false";
39571
// synopsys translate_on
39572
 
39573
// atom is at LC2_I35
39574
flex10ke_lcell \kbd[29]~I (
39575
// Equation(s):
39576
// kbd_29 = DFFEA(kbd_reg_29, GLOBAL(\fclk~dataout ), , , kbd_stb, , )
39577
 
39578
	.dataa(kbd_stb),
39579
	.datab(vcc),
39580
	.datac(vcc),
39581
	.datad(kbd_reg_29),
39582
	.aclr(gnd),
39583
	.aload(gnd),
39584
	.clk(fclk),
39585
	.cin(gnd),
39586
	.cascin(vcc),
39587
	.devclrn(devclrn),
39588
	.devpor(devpor),
39589
	.combout(),
39590
	.regout(kbd_29),
39591
	.cout(),
39592
	.cascout());
39593
// synopsys translate_off
39594
defparam \kbd[29]~I .clock_enable_mode = "true";
39595
defparam \kbd[29]~I .lut_mask = "ff00";
39596
defparam \kbd[29]~I .operation_mode = "normal";
39597
defparam \kbd[29]~I .output_mode = "reg_only";
39598
defparam \kbd[29]~I .packed_mode = "false";
39599
// synopsys translate_on
39600
 
39601
// atom is at LC3_B31
39602
flex10ke_lcell \kbd[24]~I (
39603
// Equation(s):
39604
// kbd_24 = DFFEA(kbd_reg_24, GLOBAL(\fclk~dataout ), , , kbd_stb, , )
39605
 
39606
	.dataa(kbd_stb),
39607
	.datab(vcc),
39608
	.datac(vcc),
39609
	.datad(kbd_reg_24),
39610
	.aclr(gnd),
39611
	.aload(gnd),
39612
	.clk(fclk),
39613
	.cin(gnd),
39614
	.cascin(vcc),
39615
	.devclrn(devclrn),
39616
	.devpor(devpor),
39617
	.combout(),
39618
	.regout(kbd_24),
39619
	.cout(),
39620
	.cascout());
39621
// synopsys translate_off
39622
defparam \kbd[24]~I .clock_enable_mode = "true";
39623
defparam \kbd[24]~I .lut_mask = "ff00";
39624
defparam \kbd[24]~I .operation_mode = "normal";
39625
defparam \kbd[24]~I .output_mode = "reg_only";
39626
defparam \kbd[24]~I .packed_mode = "false";
39627
// synopsys translate_on
39628
 
39629
// atom is at LC3_I35
39630
flex10ke_lcell \kbd[27]~I (
39631
// Equation(s):
39632
// kbd_27 = DFFEA(kbd_reg_27, GLOBAL(\fclk~dataout ), , , kbd_stb, , )
39633
 
39634
	.dataa(kbd_stb),
39635
	.datab(vcc),
39636
	.datac(vcc),
39637
	.datad(kbd_reg_27),
39638
	.aclr(gnd),
39639
	.aload(gnd),
39640
	.clk(fclk),
39641
	.cin(gnd),
39642
	.cascin(vcc),
39643
	.devclrn(devclrn),
39644
	.devpor(devpor),
39645
	.combout(),
39646
	.regout(kbd_27),
39647
	.cout(),
39648
	.cascout());
39649
// synopsys translate_off
39650
defparam \kbd[27]~I .clock_enable_mode = "true";
39651
defparam \kbd[27]~I .lut_mask = "ff00";
39652
defparam \kbd[27]~I .operation_mode = "normal";
39653
defparam \kbd[27]~I .output_mode = "reg_only";
39654
defparam \kbd[27]~I .packed_mode = "false";
39655
// synopsys translate_on
39656
 
39657
// atom is at LC6_I35
39658
flex10ke_lcell \kbd[28]~I (
39659
// Equation(s):
39660
// kbd_28 = DFFEA(kbd_reg_28, GLOBAL(\fclk~dataout ), , , kbd_stb, , )
39661
 
39662
	.dataa(kbd_stb),
39663
	.datab(vcc),
39664
	.datac(vcc),
39665
	.datad(kbd_reg_28),
39666
	.aclr(gnd),
39667
	.aload(gnd),
39668
	.clk(fclk),
39669
	.cin(gnd),
39670
	.cascin(vcc),
39671
	.devclrn(devclrn),
39672
	.devpor(devpor),
39673
	.combout(),
39674
	.regout(kbd_28),
39675
	.cout(),
39676
	.cascout());
39677
// synopsys translate_off
39678
defparam \kbd[28]~I .clock_enable_mode = "true";
39679
defparam \kbd[28]~I .lut_mask = "ff00";
39680
defparam \kbd[28]~I .operation_mode = "normal";
39681
defparam \kbd[28]~I .output_mode = "reg_only";
39682
defparam \kbd[28]~I .packed_mode = "false";
39683
// synopsys translate_on
39684
 
39685
// atom is at LC4_B22
39686
flex10ke_lcell \kbd[18]~I (
39687
// Equation(s):
39688
// kbd_18 = DFFEA(kbd_reg_18, GLOBAL(\fclk~dataout ), , , kbd_stb, , )
39689
 
39690
	.dataa(kbd_stb),
39691
	.datab(vcc),
39692
	.datac(vcc),
39693
	.datad(kbd_reg_18),
39694
	.aclr(gnd),
39695
	.aload(gnd),
39696
	.clk(fclk),
39697
	.cin(gnd),
39698
	.cascin(vcc),
39699
	.devclrn(devclrn),
39700
	.devpor(devpor),
39701
	.combout(),
39702
	.regout(kbd_18),
39703
	.cout(),
39704
	.cascout());
39705
// synopsys translate_off
39706
defparam \kbd[18]~I .clock_enable_mode = "true";
39707
defparam \kbd[18]~I .lut_mask = "ff00";
39708
defparam \kbd[18]~I .operation_mode = "normal";
39709
defparam \kbd[18]~I .output_mode = "reg_only";
39710
defparam \kbd[18]~I .packed_mode = "false";
39711
// synopsys translate_on
39712
 
39713
// atom is at LC2_B31
39714
flex10ke_lcell \kbd[23]~I (
39715
// Equation(s):
39716
// kbd_23 = DFFEA(kbd_reg_23, GLOBAL(\fclk~dataout ), , , kbd_stb, , )
39717
 
39718
	.dataa(kbd_stb),
39719
	.datab(vcc),
39720
	.datac(vcc),
39721
	.datad(kbd_reg_23),
39722
	.aclr(gnd),
39723
	.aload(gnd),
39724
	.clk(fclk),
39725
	.cin(gnd),
39726
	.cascin(vcc),
39727
	.devclrn(devclrn),
39728
	.devpor(devpor),
39729
	.combout(),
39730
	.regout(kbd_23),
39731
	.cout(),
39732
	.cascout());
39733
// synopsys translate_off
39734
defparam \kbd[23]~I .clock_enable_mode = "true";
39735
defparam \kbd[23]~I .lut_mask = "ff00";
39736
defparam \kbd[23]~I .operation_mode = "normal";
39737
defparam \kbd[23]~I .output_mode = "reg_only";
39738
defparam \kbd[23]~I .packed_mode = "false";
39739
// synopsys translate_on
39740
 
39741
// atom is at LC5_B22
39742
flex10ke_lcell \kbd[17]~I (
39743
// Equation(s):
39744
// kbd_17 = DFFEA(kbd_reg_17, GLOBAL(\fclk~dataout ), , , kbd_stb, , )
39745
 
39746
	.dataa(kbd_stb),
39747
	.datab(vcc),
39748
	.datac(vcc),
39749
	.datad(kbd_reg_17),
39750
	.aclr(gnd),
39751
	.aload(gnd),
39752
	.clk(fclk),
39753
	.cin(gnd),
39754
	.cascin(vcc),
39755
	.devclrn(devclrn),
39756
	.devpor(devpor),
39757
	.combout(),
39758
	.regout(kbd_17),
39759
	.cout(),
39760
	.cascout());
39761
// synopsys translate_off
39762
defparam \kbd[17]~I .clock_enable_mode = "true";
39763
defparam \kbd[17]~I .lut_mask = "ff00";
39764
defparam \kbd[17]~I .operation_mode = "normal";
39765
defparam \kbd[17]~I .output_mode = "reg_only";
39766
defparam \kbd[17]~I .packed_mode = "false";
39767
// synopsys translate_on
39768
 
39769
// atom is at LC5_B31
39770
flex10ke_lcell \kbd[22]~I (
39771
// Equation(s):
39772
// kbd_22 = DFFEA(kbd_reg_22, GLOBAL(\fclk~dataout ), , , kbd_stb, , )
39773
 
39774
	.dataa(kbd_stb),
39775
	.datab(vcc),
39776
	.datac(vcc),
39777
	.datad(kbd_reg_22),
39778
	.aclr(gnd),
39779
	.aload(gnd),
39780
	.clk(fclk),
39781
	.cin(gnd),
39782
	.cascin(vcc),
39783
	.devclrn(devclrn),
39784
	.devpor(devpor),
39785
	.combout(),
39786
	.regout(kbd_22),
39787
	.cout(),
39788
	.cascout());
39789
// synopsys translate_off
39790
defparam \kbd[22]~I .clock_enable_mode = "true";
39791
defparam \kbd[22]~I .lut_mask = "ff00";
39792
defparam \kbd[22]~I .operation_mode = "normal";
39793
defparam \kbd[22]~I .output_mode = "reg_only";
39794
defparam \kbd[22]~I .packed_mode = "false";
39795
// synopsys translate_on
39796
 
39797
// atom is at LC4_B31
39798
flex10ke_lcell \kbd[21]~I (
39799
// Equation(s):
39800
// kbd_21 = DFFEA(kbd_reg_21, GLOBAL(\fclk~dataout ), , , kbd_stb, , )
39801
 
39802
	.dataa(kbd_stb),
39803
	.datab(vcc),
39804
	.datac(vcc),
39805
	.datad(kbd_reg_21),
39806
	.aclr(gnd),
39807
	.aload(gnd),
39808
	.clk(fclk),
39809
	.cin(gnd),
39810
	.cascin(vcc),
39811
	.devclrn(devclrn),
39812
	.devpor(devpor),
39813
	.combout(),
39814
	.regout(kbd_21),
39815
	.cout(),
39816
	.cascout());
39817
// synopsys translate_off
39818
defparam \kbd[21]~I .clock_enable_mode = "true";
39819
defparam \kbd[21]~I .lut_mask = "ff00";
39820
defparam \kbd[21]~I .operation_mode = "normal";
39821
defparam \kbd[21]~I .output_mode = "reg_only";
39822
defparam \kbd[21]~I .packed_mode = "false";
39823
// synopsys translate_on
39824
 
39825
// atom is at LC1_B27
39826
flex10ke_lcell \kbd[16]~I (
39827
// Equation(s):
39828
// kbd_16 = DFFEA(kbd_reg_16, GLOBAL(\fclk~dataout ), , , kbd_stb, , )
39829
 
39830
	.dataa(kbd_stb),
39831
	.datab(vcc),
39832
	.datac(vcc),
39833
	.datad(kbd_reg_16),
39834
	.aclr(gnd),
39835
	.aload(gnd),
39836
	.clk(fclk),
39837
	.cin(gnd),
39838
	.cascin(vcc),
39839
	.devclrn(devclrn),
39840
	.devpor(devpor),
39841
	.combout(),
39842
	.regout(kbd_16),
39843
	.cout(),
39844
	.cascout());
39845
// synopsys translate_off
39846
defparam \kbd[16]~I .clock_enable_mode = "true";
39847
defparam \kbd[16]~I .lut_mask = "ff00";
39848
defparam \kbd[16]~I .operation_mode = "normal";
39849
defparam \kbd[16]~I .output_mode = "reg_only";
39850
defparam \kbd[16]~I .packed_mode = "false";
39851
// synopsys translate_on
39852
 
39853
// atom is at LC2_B27
39854
flex10ke_lcell \kbd[19]~I (
39855
// Equation(s):
39856
// kbd_19 = DFFEA(kbd_reg_19, GLOBAL(\fclk~dataout ), , , kbd_stb, , )
39857
 
39858
	.dataa(kbd_stb),
39859
	.datab(vcc),
39860
	.datac(vcc),
39861
	.datad(kbd_reg_19),
39862
	.aclr(gnd),
39863
	.aload(gnd),
39864
	.clk(fclk),
39865
	.cin(gnd),
39866
	.cascin(vcc),
39867
	.devclrn(devclrn),
39868
	.devpor(devpor),
39869
	.combout(),
39870
	.regout(kbd_19),
39871
	.cout(),
39872
	.cascout());
39873
// synopsys translate_off
39874
defparam \kbd[19]~I .clock_enable_mode = "true";
39875
defparam \kbd[19]~I .lut_mask = "ff00";
39876
defparam \kbd[19]~I .operation_mode = "normal";
39877
defparam \kbd[19]~I .output_mode = "reg_only";
39878
defparam \kbd[19]~I .packed_mode = "false";
39879
// synopsys translate_on
39880
 
39881
// atom is at LC4_B27
39882
flex10ke_lcell \kbd[20]~I (
39883
// Equation(s):
39884
// kbd_20 = DFFEA(kbd_reg_20, GLOBAL(\fclk~dataout ), , , kbd_stb, , )
39885
 
39886
	.dataa(kbd_stb),
39887
	.datab(vcc),
39888
	.datac(vcc),
39889
	.datad(kbd_reg_20),
39890
	.aclr(gnd),
39891
	.aload(gnd),
39892
	.clk(fclk),
39893
	.cin(gnd),
39894
	.cascin(vcc),
39895
	.devclrn(devclrn),
39896
	.devpor(devpor),
39897
	.combout(),
39898
	.regout(kbd_20),
39899
	.cout(),
39900
	.cascout());
39901
// synopsys translate_off
39902
defparam \kbd[20]~I .clock_enable_mode = "true";
39903
defparam \kbd[20]~I .lut_mask = "ff00";
39904
defparam \kbd[20]~I .operation_mode = "normal";
39905
defparam \kbd[20]~I .output_mode = "reg_only";
39906
defparam \kbd[20]~I .packed_mode = "false";
39907
// synopsys translate_on
39908
 
39909
// atom is at LC4_B24
39910
flex10ke_lcell \kbd[10]~I (
39911
// Equation(s):
39912
// kbd_10 = DFFEA(kbd_reg_10, GLOBAL(\fclk~dataout ), , , kbd_stb, , )
39913
 
39914
	.dataa(kbd_stb),
39915
	.datab(vcc),
39916
	.datac(vcc),
39917
	.datad(kbd_reg_10),
39918
	.aclr(gnd),
39919
	.aload(gnd),
39920
	.clk(fclk),
39921
	.cin(gnd),
39922
	.cascin(vcc),
39923
	.devclrn(devclrn),
39924
	.devpor(devpor),
39925
	.combout(),
39926
	.regout(kbd_10),
39927
	.cout(),
39928
	.cascout());
39929
// synopsys translate_off
39930
defparam \kbd[10]~I .clock_enable_mode = "true";
39931
defparam \kbd[10]~I .lut_mask = "ff00";
39932
defparam \kbd[10]~I .operation_mode = "normal";
39933
defparam \kbd[10]~I .output_mode = "reg_only";
39934
defparam \kbd[10]~I .packed_mode = "false";
39935
// synopsys translate_on
39936
 
39937
// atom is at LC2_B25
39938
flex10ke_lcell \kbd[15]~I (
39939
// Equation(s):
39940
// kbd_15 = DFFEA(kbd_reg_15, GLOBAL(\fclk~dataout ), , , kbd_stb, , )
39941
 
39942
	.dataa(kbd_stb),
39943
	.datab(vcc),
39944
	.datac(vcc),
39945
	.datad(kbd_reg_15),
39946
	.aclr(gnd),
39947
	.aload(gnd),
39948
	.clk(fclk),
39949
	.cin(gnd),
39950
	.cascin(vcc),
39951
	.devclrn(devclrn),
39952
	.devpor(devpor),
39953
	.combout(),
39954
	.regout(kbd_15),
39955
	.cout(),
39956
	.cascout());
39957
// synopsys translate_off
39958
defparam \kbd[15]~I .clock_enable_mode = "true";
39959
defparam \kbd[15]~I .lut_mask = "ff00";
39960
defparam \kbd[15]~I .operation_mode = "normal";
39961
defparam \kbd[15]~I .output_mode = "reg_only";
39962
defparam \kbd[15]~I .packed_mode = "false";
39963
// synopsys translate_on
39964
 
39965
// atom is at LC5_B24
39966
flex10ke_lcell \kbd[9]~I (
39967
// Equation(s):
39968
// kbd_9 = DFFEA(kbd_reg_9, GLOBAL(\fclk~dataout ), , , kbd_stb, , )
39969
 
39970
	.dataa(kbd_stb),
39971
	.datab(vcc),
39972
	.datac(vcc),
39973
	.datad(kbd_reg_9),
39974
	.aclr(gnd),
39975
	.aload(gnd),
39976
	.clk(fclk),
39977
	.cin(gnd),
39978
	.cascin(vcc),
39979
	.devclrn(devclrn),
39980
	.devpor(devpor),
39981
	.combout(),
39982
	.regout(kbd_9),
39983
	.cout(),
39984
	.cascout());
39985
// synopsys translate_off
39986
defparam \kbd[9]~I .clock_enable_mode = "true";
39987
defparam \kbd[9]~I .lut_mask = "ff00";
39988
defparam \kbd[9]~I .operation_mode = "normal";
39989
defparam \kbd[9]~I .output_mode = "reg_only";
39990
defparam \kbd[9]~I .packed_mode = "false";
39991
// synopsys translate_on
39992
 
39993
// atom is at LC1_B25
39994
flex10ke_lcell \kbd[14]~I (
39995
// Equation(s):
39996
// kbd_14 = DFFEA(kbd_reg_14, GLOBAL(\fclk~dataout ), , , kbd_stb, , )
39997
 
39998
	.dataa(kbd_stb),
39999
	.datab(vcc),
40000
	.datac(vcc),
40001
	.datad(kbd_reg_14),
40002
	.aclr(gnd),
40003
	.aload(gnd),
40004
	.clk(fclk),
40005
	.cin(gnd),
40006
	.cascin(vcc),
40007
	.devclrn(devclrn),
40008
	.devpor(devpor),
40009
	.combout(),
40010
	.regout(kbd_14),
40011
	.cout(),
40012
	.cascout());
40013
// synopsys translate_off
40014
defparam \kbd[14]~I .clock_enable_mode = "true";
40015
defparam \kbd[14]~I .lut_mask = "ff00";
40016
defparam \kbd[14]~I .operation_mode = "normal";
40017
defparam \kbd[14]~I .output_mode = "reg_only";
40018
defparam \kbd[14]~I .packed_mode = "false";
40019
// synopsys translate_on
40020
 
40021
// atom is at LC1_B29
40022
flex10ke_lcell \kbd[13]~I (
40023
// Equation(s):
40024
// kbd_13 = DFFEA(kbd_reg_13, GLOBAL(\fclk~dataout ), , , kbd_stb, , )
40025
 
40026
	.dataa(kbd_stb),
40027
	.datab(vcc),
40028
	.datac(vcc),
40029
	.datad(kbd_reg_13),
40030
	.aclr(gnd),
40031
	.aload(gnd),
40032
	.clk(fclk),
40033
	.cin(gnd),
40034
	.cascin(vcc),
40035
	.devclrn(devclrn),
40036
	.devpor(devpor),
40037
	.combout(),
40038
	.regout(kbd_13),
40039
	.cout(),
40040
	.cascout());
40041
// synopsys translate_off
40042
defparam \kbd[13]~I .clock_enable_mode = "true";
40043
defparam \kbd[13]~I .lut_mask = "ff00";
40044
defparam \kbd[13]~I .operation_mode = "normal";
40045
defparam \kbd[13]~I .output_mode = "reg_only";
40046
defparam \kbd[13]~I .packed_mode = "false";
40047
// synopsys translate_on
40048
 
40049
// atom is at LC2_B29
40050
flex10ke_lcell \kbd[8]~I (
40051
// Equation(s):
40052
// kbd_8 = DFFEA(kbd_reg_8, GLOBAL(\fclk~dataout ), , , kbd_stb, , )
40053
 
40054
	.dataa(kbd_stb),
40055
	.datab(vcc),
40056
	.datac(vcc),
40057
	.datad(kbd_reg_8),
40058
	.aclr(gnd),
40059
	.aload(gnd),
40060
	.clk(fclk),
40061
	.cin(gnd),
40062
	.cascin(vcc),
40063
	.devclrn(devclrn),
40064
	.devpor(devpor),
40065
	.combout(),
40066
	.regout(kbd_8),
40067
	.cout(),
40068
	.cascout());
40069
// synopsys translate_off
40070
defparam \kbd[8]~I .clock_enable_mode = "true";
40071
defparam \kbd[8]~I .lut_mask = "ff00";
40072
defparam \kbd[8]~I .operation_mode = "normal";
40073
defparam \kbd[8]~I .output_mode = "reg_only";
40074
defparam \kbd[8]~I .packed_mode = "false";
40075
// synopsys translate_on
40076
 
40077
// atom is at LC3_B29
40078
flex10ke_lcell \kbd[11]~I (
40079
// Equation(s):
40080
// kbd_11 = DFFEA(kbd_reg_11, GLOBAL(\fclk~dataout ), , , kbd_stb, , )
40081
 
40082
	.dataa(kbd_stb),
40083
	.datab(vcc),
40084
	.datac(vcc),
40085
	.datad(kbd_reg_11),
40086
	.aclr(gnd),
40087
	.aload(gnd),
40088
	.clk(fclk),
40089
	.cin(gnd),
40090
	.cascin(vcc),
40091
	.devclrn(devclrn),
40092
	.devpor(devpor),
40093
	.combout(),
40094
	.regout(kbd_11),
40095
	.cout(),
40096
	.cascout());
40097
// synopsys translate_off
40098
defparam \kbd[11]~I .clock_enable_mode = "true";
40099
defparam \kbd[11]~I .lut_mask = "ff00";
40100
defparam \kbd[11]~I .operation_mode = "normal";
40101
defparam \kbd[11]~I .output_mode = "reg_only";
40102
defparam \kbd[11]~I .packed_mode = "false";
40103
// synopsys translate_on
40104
 
40105
// atom is at LC6_B29
40106
flex10ke_lcell \kbd[12]~I (
40107
// Equation(s):
40108
// kbd_12 = DFFEA(kbd_reg_12, GLOBAL(\fclk~dataout ), , , kbd_stb, , )
40109
 
40110
	.dataa(kbd_stb),
40111
	.datab(vcc),
40112
	.datac(vcc),
40113
	.datad(kbd_reg_12),
40114
	.aclr(gnd),
40115
	.aload(gnd),
40116
	.clk(fclk),
40117
	.cin(gnd),
40118
	.cascin(vcc),
40119
	.devclrn(devclrn),
40120
	.devpor(devpor),
40121
	.combout(),
40122
	.regout(kbd_12),
40123
	.cout(),
40124
	.cascout());
40125
// synopsys translate_off
40126
defparam \kbd[12]~I .clock_enable_mode = "true";
40127
defparam \kbd[12]~I .lut_mask = "ff00";
40128
defparam \kbd[12]~I .operation_mode = "normal";
40129
defparam \kbd[12]~I .output_mode = "reg_only";
40130
defparam \kbd[12]~I .packed_mode = "false";
40131
// synopsys translate_on
40132
 
40133
// atom is at LC6_A33
40134
flex10ke_lcell \kbd[2]~I (
40135
// Equation(s):
40136
// kbd_2 = DFFEA(kbd_reg_2, GLOBAL(\fclk~dataout ), , , kbd_stb, , )
40137
 
40138
	.dataa(kbd_stb),
40139
	.datab(vcc),
40140
	.datac(vcc),
40141
	.datad(kbd_reg_2),
40142
	.aclr(gnd),
40143
	.aload(gnd),
40144
	.clk(fclk),
40145
	.cin(gnd),
40146
	.cascin(vcc),
40147
	.devclrn(devclrn),
40148
	.devpor(devpor),
40149
	.combout(),
40150
	.regout(kbd_2),
40151
	.cout(),
40152
	.cascout());
40153
// synopsys translate_off
40154
defparam \kbd[2]~I .clock_enable_mode = "true";
40155
defparam \kbd[2]~I .lut_mask = "ff00";
40156
defparam \kbd[2]~I .operation_mode = "normal";
40157
defparam \kbd[2]~I .output_mode = "reg_only";
40158
defparam \kbd[2]~I .packed_mode = "false";
40159
// synopsys translate_on
40160
 
40161
// atom is at LC5_A23
40162
flex10ke_lcell \kbd[7]~I (
40163
// Equation(s):
40164
// kbd_7 = DFFEA(kbd_reg_7, GLOBAL(\fclk~dataout ), , , kbd_stb, , )
40165
 
40166
	.dataa(kbd_stb),
40167
	.datab(vcc),
40168
	.datac(vcc),
40169
	.datad(kbd_reg_7),
40170
	.aclr(gnd),
40171
	.aload(gnd),
40172
	.clk(fclk),
40173
	.cin(gnd),
40174
	.cascin(vcc),
40175
	.devclrn(devclrn),
40176
	.devpor(devpor),
40177
	.combout(),
40178
	.regout(kbd_7),
40179
	.cout(),
40180
	.cascout());
40181
// synopsys translate_off
40182
defparam \kbd[7]~I .clock_enable_mode = "true";
40183
defparam \kbd[7]~I .lut_mask = "ff00";
40184
defparam \kbd[7]~I .operation_mode = "normal";
40185
defparam \kbd[7]~I .output_mode = "reg_only";
40186
defparam \kbd[7]~I .packed_mode = "false";
40187
// synopsys translate_on
40188
 
40189
// atom is at LC4_A33
40190
flex10ke_lcell \kbd[1]~I (
40191
// Equation(s):
40192
// kbd_1 = DFFEA(kbd_reg_1, GLOBAL(\fclk~dataout ), , , kbd_stb, , )
40193
 
40194
	.dataa(kbd_stb),
40195
	.datab(vcc),
40196
	.datac(vcc),
40197
	.datad(kbd_reg_1),
40198
	.aclr(gnd),
40199
	.aload(gnd),
40200
	.clk(fclk),
40201
	.cin(gnd),
40202
	.cascin(vcc),
40203
	.devclrn(devclrn),
40204
	.devpor(devpor),
40205
	.combout(),
40206
	.regout(kbd_1),
40207
	.cout(),
40208
	.cascout());
40209
// synopsys translate_off
40210
defparam \kbd[1]~I .clock_enable_mode = "true";
40211
defparam \kbd[1]~I .lut_mask = "ff00";
40212
defparam \kbd[1]~I .operation_mode = "normal";
40213
defparam \kbd[1]~I .output_mode = "reg_only";
40214
defparam \kbd[1]~I .packed_mode = "false";
40215
// synopsys translate_on
40216
 
40217
// atom is at LC1_A32
40218
flex10ke_lcell \kbd[6]~I (
40219
// Equation(s):
40220
// kbd_6 = DFFEA(kbd_reg_6, GLOBAL(\fclk~dataout ), , , kbd_stb, , )
40221
 
40222
	.dataa(kbd_stb),
40223
	.datab(vcc),
40224
	.datac(vcc),
40225
	.datad(kbd_reg_6),
40226
	.aclr(gnd),
40227
	.aload(gnd),
40228
	.clk(fclk),
40229
	.cin(gnd),
40230
	.cascin(vcc),
40231
	.devclrn(devclrn),
40232
	.devpor(devpor),
40233
	.combout(),
40234
	.regout(kbd_6),
40235
	.cout(),
40236
	.cascout());
40237
// synopsys translate_off
40238
defparam \kbd[6]~I .clock_enable_mode = "true";
40239
defparam \kbd[6]~I .lut_mask = "ff00";
40240
defparam \kbd[6]~I .operation_mode = "normal";
40241
defparam \kbd[6]~I .output_mode = "reg_only";
40242
defparam \kbd[6]~I .packed_mode = "false";
40243
// synopsys translate_on
40244
 
40245
// atom is at LC2_A32
40246
flex10ke_lcell \kbd[5]~I (
40247
// Equation(s):
40248
// kbd_5 = DFFEA(kbd_reg_5, GLOBAL(\fclk~dataout ), , , kbd_stb, , )
40249
 
40250
	.dataa(kbd_stb),
40251
	.datab(vcc),
40252
	.datac(vcc),
40253
	.datad(kbd_reg_5),
40254
	.aclr(gnd),
40255
	.aload(gnd),
40256
	.clk(fclk),
40257
	.cin(gnd),
40258
	.cascin(vcc),
40259
	.devclrn(devclrn),
40260
	.devpor(devpor),
40261
	.combout(),
40262
	.regout(kbd_5),
40263
	.cout(),
40264
	.cascout());
40265
// synopsys translate_off
40266
defparam \kbd[5]~I .clock_enable_mode = "true";
40267
defparam \kbd[5]~I .lut_mask = "ff00";
40268
defparam \kbd[5]~I .operation_mode = "normal";
40269
defparam \kbd[5]~I .output_mode = "reg_only";
40270
defparam \kbd[5]~I .packed_mode = "false";
40271
// synopsys translate_on
40272
 
40273
// atom is at LC8_A33
40274
flex10ke_lcell \kbd[0]~I (
40275
// Equation(s):
40276
// kbd_0 = DFFEA(kbd_reg_0, GLOBAL(\fclk~dataout ), , , kbd_stb, , )
40277
 
40278
	.dataa(kbd_stb),
40279
	.datab(vcc),
40280
	.datac(vcc),
40281
	.datad(kbd_reg_0),
40282
	.aclr(gnd),
40283
	.aload(gnd),
40284
	.clk(fclk),
40285
	.cin(gnd),
40286
	.cascin(vcc),
40287
	.devclrn(devclrn),
40288
	.devpor(devpor),
40289
	.combout(),
40290
	.regout(kbd_0),
40291
	.cout(),
40292
	.cascout());
40293
// synopsys translate_off
40294
defparam \kbd[0]~I .clock_enable_mode = "true";
40295
defparam \kbd[0]~I .lut_mask = "ff00";
40296
defparam \kbd[0]~I .operation_mode = "normal";
40297
defparam \kbd[0]~I .output_mode = "reg_only";
40298
defparam \kbd[0]~I .packed_mode = "false";
40299
// synopsys translate_on
40300
 
40301
// atom is at LC1_A33
40302
flex10ke_lcell \kbd[3]~I (
40303
// Equation(s):
40304
// kbd_3 = DFFEA(kbd_reg_3, GLOBAL(\fclk~dataout ), , , kbd_stb, , )
40305
 
40306
	.dataa(kbd_stb),
40307
	.datab(vcc),
40308
	.datac(vcc),
40309
	.datad(kbd_reg_3),
40310
	.aclr(gnd),
40311
	.aload(gnd),
40312
	.clk(fclk),
40313
	.cin(gnd),
40314
	.cascin(vcc),
40315
	.devclrn(devclrn),
40316
	.devpor(devpor),
40317
	.combout(),
40318
	.regout(kbd_3),
40319
	.cout(),
40320
	.cascout());
40321
// synopsys translate_off
40322
defparam \kbd[3]~I .clock_enable_mode = "true";
40323
defparam \kbd[3]~I .lut_mask = "ff00";
40324
defparam \kbd[3]~I .operation_mode = "normal";
40325
defparam \kbd[3]~I .output_mode = "reg_only";
40326
defparam \kbd[3]~I .packed_mode = "false";
40327
// synopsys translate_on
40328
 
40329
// atom is at LC6_A32
40330
flex10ke_lcell \kbd[4]~I (
40331
// Equation(s):
40332
// kbd_4 = DFFEA(kbd_reg_4, GLOBAL(\fclk~dataout ), , , kbd_stb, , )
40333
 
40334
	.dataa(kbd_stb),
40335
	.datab(vcc),
40336
	.datac(vcc),
40337
	.datad(kbd_reg_4),
40338
	.aclr(gnd),
40339
	.aload(gnd),
40340
	.clk(fclk),
40341
	.cin(gnd),
40342
	.cascin(vcc),
40343
	.devclrn(devclrn),
40344
	.devpor(devpor),
40345
	.combout(),
40346
	.regout(kbd_4),
40347
	.cout(),
40348
	.cascout());
40349
// synopsys translate_off
40350
defparam \kbd[4]~I .clock_enable_mode = "true";
40351
defparam \kbd[4]~I .lut_mask = "ff00";
40352
defparam \kbd[4]~I .operation_mode = "normal";
40353
defparam \kbd[4]~I .output_mode = "reg_only";
40354
defparam \kbd[4]~I .packed_mode = "false";
40355
// synopsys translate_on
40356
 
40357
endmodule
40358
 
40359
module zmem (
40360
	romnram,
40361
	romnram1,
40362
	romnram2,
40363
	romnram3,
40364
	Mux8,
40365
	romoe_n,
40366
	romrw_en_reg,
40367
	romwe_n,
40368
	page_0,
40369
	page_01,
40370
	page_02,
40371
	page_03,
40372
	Mux7,
40373
	page_1,
40374
	page_11,
40375
	page_12,
40376
	page_13,
40377
	Mux6,
40378
	page_2,
40379
	page_21,
40380
	page_22,
40381
	page_23,
40382
	Mux5,
40383
	page_3,
40384
	page_31,
40385
	page_32,
40386
	page_33,
40387
	Mux4,
40388
	page_4,
40389
	page_41,
40390
	page_42,
40391
	page_43,
40392
	Mux3,
40393
	cpu_rnw,
40394
	cpu_req,
40395
	page_5,
40396
	page_51,
40397
	page_52,
40398
	page_53,
40399
	Mux2,
40400
	page_6,
40401
	page_61,
40402
	page_62,
40403
	page_63,
40404
	Mux1,
40405
	page_7,
40406
	page_71,
40407
	page_72,
40408
	page_73,
40409
	Mux0,
40410
	cend,
40411
	rddata_15,
40412
	rddata_6,
40413
	rddata_14,
40414
	rddata_7,
40415
	rddata_1,
40416
	rddata_12,
40417
	rddata_9,
40418
	rddata_4,
40419
	rddata_5,
40420
	rddata_13,
40421
	rddata_2,
40422
	rddata_10,
40423
	rddata_3,
40424
	rddata_11,
40425
	rddata_0,
40426
	rddata_8,
40427
	cpu_strobe,
40428
	zd_out_0,
40429
	zd_out_1,
40430
	zd_out_2,
40431
	zd_out_3,
40432
	zd_out_4,
40433
	zd_out_5,
40434
	zd_out_6,
40435
	zd_out_7,
40436
	a_14,
40437
	a_15,
40438
	rd_n,
40439
	mreq_n,
40440
	wr_n,
40441
	a_0,
40442
	fclk,
40443
	rfsh_n,
40444
	devpor,
40445
	devclrn,
40446
	devoe);
40447
input 	romnram;
40448
input 	romnram1;
40449
input 	romnram2;
40450
input 	romnram3;
40451
output 	Mux8;
40452
output 	romoe_n;
40453
input 	romrw_en_reg;
40454
output 	romwe_n;
40455
input 	page_0;
40456
input 	page_01;
40457
input 	page_02;
40458
input 	page_03;
40459
output 	Mux7;
40460
input 	page_1;
40461
input 	page_11;
40462
input 	page_12;
40463
input 	page_13;
40464
output 	Mux6;
40465
input 	page_2;
40466
input 	page_21;
40467
input 	page_22;
40468
input 	page_23;
40469
output 	Mux5;
40470
input 	page_3;
40471
input 	page_31;
40472
input 	page_32;
40473
input 	page_33;
40474
output 	Mux4;
40475
input 	page_4;
40476
input 	page_41;
40477
input 	page_42;
40478
input 	page_43;
40479
output 	Mux3;
40480
output 	cpu_rnw;
40481
output 	cpu_req;
40482
input 	page_5;
40483
input 	page_51;
40484
input 	page_52;
40485
input 	page_53;
40486
output 	Mux2;
40487
input 	page_6;
40488
input 	page_61;
40489
input 	page_62;
40490
input 	page_63;
40491
output 	Mux1;
40492
input 	page_7;
40493
input 	page_71;
40494
input 	page_72;
40495
input 	page_73;
40496
output 	Mux0;
40497
input 	cend;
40498
input 	rddata_15;
40499
input 	rddata_6;
40500
input 	rddata_14;
40501
input 	rddata_7;
40502
input 	rddata_1;
40503
input 	rddata_12;
40504
input 	rddata_9;
40505
input 	rddata_4;
40506
input 	rddata_5;
40507
input 	rddata_13;
40508
input 	rddata_2;
40509
input 	rddata_10;
40510
input 	rddata_3;
40511
input 	rddata_11;
40512
input 	rddata_0;
40513
input 	rddata_8;
40514
input 	cpu_strobe;
40515
output 	zd_out_0;
40516
output 	zd_out_1;
40517
output 	zd_out_2;
40518
output 	zd_out_3;
40519
output 	zd_out_4;
40520
output 	zd_out_5;
40521
output 	zd_out_6;
40522
output 	zd_out_7;
40523
input 	a_14;
40524
input 	a_15;
40525
input 	rd_n;
40526
input 	mreq_n;
40527
input 	wr_n;
40528
input 	a_0;
40529
input 	fclk;
40530
input 	rfsh_n;
40531
input 	devpor;
40532
input 	devclrn;
40533
input 	devoe;
40534
 
40535
wire gnd = 1'b0;
40536
wire vcc = 1'b1;
40537
 
40538
wire \Mux8~2 ;
40539
wire \Mux7~2 ;
40540
wire \Mux6~2 ;
40541
wire \Mux5~9 ;
40542
wire \Mux4~2 ;
40543
wire \Mux3~2 ;
40544
wire \ramwr~9 ;
40545
wire ramwr_reg;
40546
wire ramrd_reg;
40547
wire \Mux2~2 ;
40548
wire \Mux1~2 ;
40549
wire \Mux0~2 ;
40550
wire \zd_out~48 ;
40551
wire \zd_out~49 ;
40552
wire \zd_out~50 ;
40553
wire \zd_out~51 ;
40554
wire \zd_out~52 ;
40555
wire \zd_out~53 ;
40556
wire \zd_out~54 ;
40557
wire \zd_out~55 ;
40558
 
40559
 
40560
// atom is at LC1_A13
40561
flex10ke_lcell \Mux8~3_I (
40562
// Equation(s):
40563
// Mux8 = \Mux8~2  & (romnram # !\a~dataout [14]) # !\Mux8~2  & (\a~dataout [14] & romnram)
40564
 
40565
	.dataa(romnram3),
40566
	.datab(\Mux8~2 ),
40567
	.datac(a_14),
40568
	.datad(romnram),
40569
	.aclr(gnd),
40570
	.aload(gnd),
40571
	.clk(gnd),
40572
	.cin(gnd),
40573
	.cascin(vcc),
40574
	.devclrn(devclrn),
40575
	.devpor(devpor),
40576
	.combout(Mux8),
40577
	.regout(),
40578
	.cout(),
40579
	.cascout());
40580
// synopsys translate_off
40581
defparam \Mux8~3_I .clock_enable_mode = "false";
40582
defparam \Mux8~3_I .lut_mask = "bc8c";
40583
defparam \Mux8~3_I .operation_mode = "normal";
40584
defparam \Mux8~3_I .output_mode = "comb_only";
40585
defparam \Mux8~3_I .packed_mode = "false";
40586
// synopsys translate_on
40587
 
40588
// atom is at LC8_E7
40589
flex10ke_lcell \romoe_n~I (
40590
// Equation(s):
40591
// romoe_n = \mreq_n~dataout  # \rd_n~dataout 
40592
 
40593
	.dataa(vcc),
40594
	.datab(vcc),
40595
	.datac(mreq_n),
40596
	.datad(rd_n),
40597
	.aclr(gnd),
40598
	.aload(gnd),
40599
	.clk(gnd),
40600
	.cin(gnd),
40601
	.cascin(vcc),
40602
	.devclrn(devclrn),
40603
	.devpor(devpor),
40604
	.combout(romoe_n),
40605
	.regout(),
40606
	.cout(),
40607
	.cascout());
40608
// synopsys translate_off
40609
defparam \romoe_n~I .clock_enable_mode = "false";
40610
defparam \romoe_n~I .lut_mask = "fff0";
40611
defparam \romoe_n~I .operation_mode = "normal";
40612
defparam \romoe_n~I .output_mode = "comb_only";
40613
defparam \romoe_n~I .packed_mode = "false";
40614
// synopsys translate_on
40615
 
40616
// atom is at LC4_D7
40617
flex10ke_lcell \romwe_n~15_I (
40618
// Equation(s):
40619
// romwe_n = \wr_n~dataout  # \mreq_n~dataout  # !romrw_en_reg
40620
 
40621
	.dataa(vcc),
40622
	.datab(romrw_en_reg),
40623
	.datac(wr_n),
40624
	.datad(mreq_n),
40625
	.aclr(gnd),
40626
	.aload(gnd),
40627
	.clk(gnd),
40628
	.cin(gnd),
40629
	.cascin(vcc),
40630
	.devclrn(devclrn),
40631
	.devpor(devpor),
40632
	.combout(romwe_n),
40633
	.regout(),
40634
	.cout(),
40635
	.cascout());
40636
// synopsys translate_off
40637
defparam \romwe_n~15_I .clock_enable_mode = "false";
40638
defparam \romwe_n~15_I .lut_mask = "fff3";
40639
defparam \romwe_n~15_I .operation_mode = "normal";
40640
defparam \romwe_n~15_I .output_mode = "comb_only";
40641
defparam \romwe_n~15_I .packed_mode = "false";
40642
// synopsys translate_on
40643
 
40644
// atom is at LC8_A4
40645
flex10ke_lcell \Mux7~3_I (
40646
// Equation(s):
40647
// Mux7 = \Mux7~2  & (page_0 # !\a~dataout [15]) # !\Mux7~2  & (\a~dataout [15] & page_0)
40648
 
40649
	.dataa(page_03),
40650
	.datab(\Mux7~2 ),
40651
	.datac(a_15),
40652
	.datad(page_0),
40653
	.aclr(gnd),
40654
	.aload(gnd),
40655
	.clk(gnd),
40656
	.cin(gnd),
40657
	.cascin(vcc),
40658
	.devclrn(devclrn),
40659
	.devpor(devpor),
40660
	.combout(Mux7),
40661
	.regout(),
40662
	.cout(),
40663
	.cascout());
40664
// synopsys translate_off
40665
defparam \Mux7~3_I .clock_enable_mode = "false";
40666
defparam \Mux7~3_I .lut_mask = "bc8c";
40667
defparam \Mux7~3_I .operation_mode = "normal";
40668
defparam \Mux7~3_I .output_mode = "comb_only";
40669
defparam \Mux7~3_I .packed_mode = "false";
40670
// synopsys translate_on
40671
 
40672
// atom is at LC1_B4
40673
flex10ke_lcell \Mux6~3_I (
40674
// Equation(s):
40675
// Mux6 = \Mux6~2  & (page_1 # !\a~dataout [14]) # !\Mux6~2  & (\a~dataout [14] & page_1)
40676
 
40677
	.dataa(page_13),
40678
	.datab(\Mux6~2 ),
40679
	.datac(a_14),
40680
	.datad(page_1),
40681
	.aclr(gnd),
40682
	.aload(gnd),
40683
	.clk(gnd),
40684
	.cin(gnd),
40685
	.cascin(vcc),
40686
	.devclrn(devclrn),
40687
	.devpor(devpor),
40688
	.combout(Mux6),
40689
	.regout(),
40690
	.cout(),
40691
	.cascout());
40692
// synopsys translate_off
40693
defparam \Mux6~3_I .clock_enable_mode = "false";
40694
defparam \Mux6~3_I .lut_mask = "bc8c";
40695
defparam \Mux6~3_I .operation_mode = "normal";
40696
defparam \Mux6~3_I .output_mode = "comb_only";
40697
defparam \Mux6~3_I .packed_mode = "false";
40698
// synopsys translate_on
40699
 
40700
// atom is at LC4_B5
40701
flex10ke_lcell \Mux5~10_I (
40702
// Equation(s):
40703
// Mux5 = \Mux5~9  & (page_2 # !\a~dataout [15]) # !\Mux5~9  & (\a~dataout [15] & page_2)
40704
 
40705
	.dataa(page_23),
40706
	.datab(\Mux5~9 ),
40707
	.datac(a_15),
40708
	.datad(page_2),
40709
	.aclr(gnd),
40710
	.aload(gnd),
40711
	.clk(gnd),
40712
	.cin(gnd),
40713
	.cascin(vcc),
40714
	.devclrn(devclrn),
40715
	.devpor(devpor),
40716
	.combout(Mux5),
40717
	.regout(),
40718
	.cout(),
40719
	.cascout());
40720
// synopsys translate_off
40721
defparam \Mux5~10_I .clock_enable_mode = "false";
40722
defparam \Mux5~10_I .lut_mask = "bc8c";
40723
defparam \Mux5~10_I .operation_mode = "normal";
40724
defparam \Mux5~10_I .output_mode = "comb_only";
40725
defparam \Mux5~10_I .packed_mode = "false";
40726
// synopsys translate_on
40727
 
40728
// atom is at LC2_B6
40729
flex10ke_lcell \Mux4~3_I (
40730
// Equation(s):
40731
// Mux4 = \Mux4~2  & (page_3 # !\a~dataout [14]) # !\Mux4~2  & (\a~dataout [14] & page_3)
40732
 
40733
	.dataa(page_33),
40734
	.datab(\Mux4~2 ),
40735
	.datac(a_14),
40736
	.datad(page_3),
40737
	.aclr(gnd),
40738
	.aload(gnd),
40739
	.clk(gnd),
40740
	.cin(gnd),
40741
	.cascin(vcc),
40742
	.devclrn(devclrn),
40743
	.devpor(devpor),
40744
	.combout(Mux4),
40745
	.regout(),
40746
	.cout(),
40747
	.cascout());
40748
// synopsys translate_off
40749
defparam \Mux4~3_I .clock_enable_mode = "false";
40750
defparam \Mux4~3_I .lut_mask = "bc8c";
40751
defparam \Mux4~3_I .operation_mode = "normal";
40752
defparam \Mux4~3_I .output_mode = "comb_only";
40753
defparam \Mux4~3_I .packed_mode = "false";
40754
// synopsys translate_on
40755
 
40756
// atom is at LC2_B5
40757
flex10ke_lcell \Mux3~3_I (
40758
// Equation(s):
40759
// Mux3 = \Mux3~2  & (page_4 # !\a~dataout [15]) # !\Mux3~2  & (\a~dataout [15] & page_4)
40760
 
40761
	.dataa(page_43),
40762
	.datab(\Mux3~2 ),
40763
	.datac(a_15),
40764
	.datad(page_4),
40765
	.aclr(gnd),
40766
	.aload(gnd),
40767
	.clk(gnd),
40768
	.cin(gnd),
40769
	.cascin(vcc),
40770
	.devclrn(devclrn),
40771
	.devpor(devpor),
40772
	.combout(Mux3),
40773
	.regout(),
40774
	.cout(),
40775
	.cascout());
40776
// synopsys translate_off
40777
defparam \Mux3~3_I .clock_enable_mode = "false";
40778
defparam \Mux3~3_I .lut_mask = "bc8c";
40779
defparam \Mux3~3_I .operation_mode = "normal";
40780
defparam \Mux3~3_I .output_mode = "comb_only";
40781
defparam \Mux3~3_I .packed_mode = "false";
40782
// synopsys translate_on
40783
 
40784
// atom is at LC3_E7
40785
flex10ke_lcell \cpu_rnw~38_I (
40786
// Equation(s):
40787
// cpu_rnw = !\mreq_n~dataout  & !Mux8 & !\rd_n~dataout  & \rfsh_n~dataout 
40788
 
40789
	.dataa(mreq_n),
40790
	.datab(Mux8),
40791
	.datac(rd_n),
40792
	.datad(rfsh_n),
40793
	.aclr(gnd),
40794
	.aload(gnd),
40795
	.clk(gnd),
40796
	.cin(gnd),
40797
	.cascin(vcc),
40798
	.devclrn(devclrn),
40799
	.devpor(devpor),
40800
	.combout(cpu_rnw),
40801
	.regout(),
40802
	.cout(),
40803
	.cascout());
40804
// synopsys translate_off
40805
defparam \cpu_rnw~38_I .clock_enable_mode = "false";
40806
defparam \cpu_rnw~38_I .lut_mask = "0100";
40807
defparam \cpu_rnw~38_I .operation_mode = "normal";
40808
defparam \cpu_rnw~38_I .output_mode = "comb_only";
40809
defparam \cpu_rnw~38_I .packed_mode = "false";
40810
// synopsys translate_on
40811
 
40812
// atom is at LC2_E7
40813
flex10ke_lcell \cpu_req~I (
40814
// Equation(s):
40815
// cpu_req = ramwr_reg & !ramrd_reg & (cpu_rnw) # !ramwr_reg & (\ramwr~9  # !ramrd_reg & cpu_rnw)
40816
 
40817
	.dataa(ramwr_reg),
40818
	.datab(ramrd_reg),
40819
	.datac(\ramwr~9 ),
40820
	.datad(cpu_rnw),
40821
	.aclr(gnd),
40822
	.aload(gnd),
40823
	.clk(gnd),
40824
	.cin(gnd),
40825
	.cascin(vcc),
40826
	.devclrn(devclrn),
40827
	.devpor(devpor),
40828
	.combout(cpu_req),
40829
	.regout(),
40830
	.cout(),
40831
	.cascout());
40832
// synopsys translate_off
40833
defparam \cpu_req~I .clock_enable_mode = "false";
40834
defparam \cpu_req~I .lut_mask = "7350";
40835
defparam \cpu_req~I .operation_mode = "normal";
40836
defparam \cpu_req~I .output_mode = "comb_only";
40837
defparam \cpu_req~I .packed_mode = "false";
40838
// synopsys translate_on
40839
 
40840
// atom is at LC1_B6
40841
flex10ke_lcell \Mux2~3_I (
40842
// Equation(s):
40843
// Mux2 = \Mux2~2  & (page_5 # !\a~dataout [14]) # !\Mux2~2  & (\a~dataout [14] & page_5)
40844
 
40845
	.dataa(page_53),
40846
	.datab(\Mux2~2 ),
40847
	.datac(a_14),
40848
	.datad(page_5),
40849
	.aclr(gnd),
40850
	.aload(gnd),
40851
	.clk(gnd),
40852
	.cin(gnd),
40853
	.cascin(vcc),
40854
	.devclrn(devclrn),
40855
	.devpor(devpor),
40856
	.combout(Mux2),
40857
	.regout(),
40858
	.cout(),
40859
	.cascout());
40860
// synopsys translate_off
40861
defparam \Mux2~3_I .clock_enable_mode = "false";
40862
defparam \Mux2~3_I .lut_mask = "bc8c";
40863
defparam \Mux2~3_I .operation_mode = "normal";
40864
defparam \Mux2~3_I .output_mode = "comb_only";
40865
defparam \Mux2~3_I .packed_mode = "false";
40866
// synopsys translate_on
40867
 
40868
// atom is at LC2_D27
40869
flex10ke_lcell \Mux1~3_I (
40870
// Equation(s):
40871
// Mux1 = \Mux1~2  & (page_6 # !\a~dataout [15]) # !\Mux1~2  & (\a~dataout [15] & page_6)
40872
 
40873
	.dataa(page_63),
40874
	.datab(\Mux1~2 ),
40875
	.datac(a_15),
40876
	.datad(page_6),
40877
	.aclr(gnd),
40878
	.aload(gnd),
40879
	.clk(gnd),
40880
	.cin(gnd),
40881
	.cascin(vcc),
40882
	.devclrn(devclrn),
40883
	.devpor(devpor),
40884
	.combout(Mux1),
40885
	.regout(),
40886
	.cout(),
40887
	.cascout());
40888
// synopsys translate_off
40889
defparam \Mux1~3_I .clock_enable_mode = "false";
40890
defparam \Mux1~3_I .lut_mask = "bc8c";
40891
defparam \Mux1~3_I .operation_mode = "normal";
40892
defparam \Mux1~3_I .output_mode = "comb_only";
40893
defparam \Mux1~3_I .packed_mode = "false";
40894
// synopsys translate_on
40895
 
40896
// atom is at LC1_D27
40897
flex10ke_lcell \Mux0~3_I (
40898
// Equation(s):
40899
// Mux0 = \Mux0~2  & (page_7 # !\a~dataout [14]) # !\Mux0~2  & (\a~dataout [14] & page_7)
40900
 
40901
	.dataa(page_73),
40902
	.datab(\Mux0~2 ),
40903
	.datac(a_14),
40904
	.datad(page_7),
40905
	.aclr(gnd),
40906
	.aload(gnd),
40907
	.clk(gnd),
40908
	.cin(gnd),
40909
	.cascin(vcc),
40910
	.devclrn(devclrn),
40911
	.devpor(devpor),
40912
	.combout(Mux0),
40913
	.regout(),
40914
	.cout(),
40915
	.cascout());
40916
// synopsys translate_off
40917
defparam \Mux0~3_I .clock_enable_mode = "false";
40918
defparam \Mux0~3_I .lut_mask = "bc8c";
40919
defparam \Mux0~3_I .operation_mode = "normal";
40920
defparam \Mux0~3_I .output_mode = "comb_only";
40921
defparam \Mux0~3_I .packed_mode = "false";
40922
// synopsys translate_on
40923
 
40924
// atom is at LC4_H5
40925
flex10ke_lcell \zd_out[0]~I (
40926
// Equation(s):
40927
// zd_out_0 = cpu_strobe & (\zd_out~48 ) # !cpu_strobe & zd_out_0
40928
 
40929
	.dataa(vcc),
40930
	.datab(cpu_strobe),
40931
	.datac(zd_out_0),
40932
	.datad(\zd_out~48 ),
40933
	.aclr(gnd),
40934
	.aload(gnd),
40935
	.clk(gnd),
40936
	.cin(gnd),
40937
	.cascin(vcc),
40938
	.devclrn(devclrn),
40939
	.devpor(devpor),
40940
	.combout(zd_out_0),
40941
	.regout(),
40942
	.cout(),
40943
	.cascout());
40944
// synopsys translate_off
40945
defparam \zd_out[0]~I .clock_enable_mode = "false";
40946
defparam \zd_out[0]~I .lut_mask = "fc30";
40947
defparam \zd_out[0]~I .operation_mode = "normal";
40948
defparam \zd_out[0]~I .output_mode = "comb_only";
40949
defparam \zd_out[0]~I .packed_mode = "false";
40950
// synopsys translate_on
40951
 
40952
// atom is at LC7_H33
40953
flex10ke_lcell \zd_out[1]~I (
40954
// Equation(s):
40955
// zd_out_1 = cpu_strobe & (\zd_out~49 ) # !cpu_strobe & zd_out_1
40956
 
40957
	.dataa(vcc),
40958
	.datab(cpu_strobe),
40959
	.datac(zd_out_1),
40960
	.datad(\zd_out~49 ),
40961
	.aclr(gnd),
40962
	.aload(gnd),
40963
	.clk(gnd),
40964
	.cin(gnd),
40965
	.cascin(vcc),
40966
	.devclrn(devclrn),
40967
	.devpor(devpor),
40968
	.combout(zd_out_1),
40969
	.regout(),
40970
	.cout(),
40971
	.cascout());
40972
// synopsys translate_off
40973
defparam \zd_out[1]~I .clock_enable_mode = "false";
40974
defparam \zd_out[1]~I .lut_mask = "fc30";
40975
defparam \zd_out[1]~I .operation_mode = "normal";
40976
defparam \zd_out[1]~I .output_mode = "comb_only";
40977
defparam \zd_out[1]~I .packed_mode = "false";
40978
// synopsys translate_on
40979
 
40980
// atom is at LC7_H25
40981
flex10ke_lcell \zd_out[2]~I (
40982
// Equation(s):
40983
// zd_out_2 = cpu_strobe & (\zd_out~50 ) # !cpu_strobe & zd_out_2
40984
 
40985
	.dataa(vcc),
40986
	.datab(cpu_strobe),
40987
	.datac(zd_out_2),
40988
	.datad(\zd_out~50 ),
40989
	.aclr(gnd),
40990
	.aload(gnd),
40991
	.clk(gnd),
40992
	.cin(gnd),
40993
	.cascin(vcc),
40994
	.devclrn(devclrn),
40995
	.devpor(devpor),
40996
	.combout(zd_out_2),
40997
	.regout(),
40998
	.cout(),
40999
	.cascout());
41000
// synopsys translate_off
41001
defparam \zd_out[2]~I .clock_enable_mode = "false";
41002
defparam \zd_out[2]~I .lut_mask = "fc30";
41003
defparam \zd_out[2]~I .operation_mode = "normal";
41004
defparam \zd_out[2]~I .output_mode = "comb_only";
41005
defparam \zd_out[2]~I .packed_mode = "false";
41006
// synopsys translate_on
41007
 
41008
// atom is at LC6_H17
41009
flex10ke_lcell \zd_out[3]~I (
41010
// Equation(s):
41011
// zd_out_3 = cpu_strobe & (\zd_out~51 ) # !cpu_strobe & zd_out_3
41012
 
41013
	.dataa(vcc),
41014
	.datab(cpu_strobe),
41015
	.datac(zd_out_3),
41016
	.datad(\zd_out~51 ),
41017
	.aclr(gnd),
41018
	.aload(gnd),
41019
	.clk(gnd),
41020
	.cin(gnd),
41021
	.cascin(vcc),
41022
	.devclrn(devclrn),
41023
	.devpor(devpor),
41024
	.combout(zd_out_3),
41025
	.regout(),
41026
	.cout(),
41027
	.cascout());
41028
// synopsys translate_off
41029
defparam \zd_out[3]~I .clock_enable_mode = "false";
41030
defparam \zd_out[3]~I .lut_mask = "fc30";
41031
defparam \zd_out[3]~I .operation_mode = "normal";
41032
defparam \zd_out[3]~I .output_mode = "comb_only";
41033
defparam \zd_out[3]~I .packed_mode = "false";
41034
// synopsys translate_on
41035
 
41036
// atom is at LC5_G27
41037
flex10ke_lcell \zd_out[4]~I (
41038
// Equation(s):
41039
// zd_out_4 = cpu_strobe & (\zd_out~52 ) # !cpu_strobe & zd_out_4
41040
 
41041
	.dataa(vcc),
41042
	.datab(cpu_strobe),
41043
	.datac(zd_out_4),
41044
	.datad(\zd_out~52 ),
41045
	.aclr(gnd),
41046
	.aload(gnd),
41047
	.clk(gnd),
41048
	.cin(gnd),
41049
	.cascin(vcc),
41050
	.devclrn(devclrn),
41051
	.devpor(devpor),
41052
	.combout(zd_out_4),
41053
	.regout(),
41054
	.cout(),
41055
	.cascout());
41056
// synopsys translate_off
41057
defparam \zd_out[4]~I .clock_enable_mode = "false";
41058
defparam \zd_out[4]~I .lut_mask = "fc30";
41059
defparam \zd_out[4]~I .operation_mode = "normal";
41060
defparam \zd_out[4]~I .output_mode = "comb_only";
41061
defparam \zd_out[4]~I .packed_mode = "false";
41062
// synopsys translate_on
41063
 
41064
// atom is at LC7_H8
41065
flex10ke_lcell \zd_out[5]~I (
41066
// Equation(s):
41067
// zd_out_5 = cpu_strobe & (\zd_out~53 ) # !cpu_strobe & zd_out_5
41068
 
41069
	.dataa(vcc),
41070
	.datab(cpu_strobe),
41071
	.datac(zd_out_5),
41072
	.datad(\zd_out~53 ),
41073
	.aclr(gnd),
41074
	.aload(gnd),
41075
	.clk(gnd),
41076
	.cin(gnd),
41077
	.cascin(vcc),
41078
	.devclrn(devclrn),
41079
	.devpor(devpor),
41080
	.combout(zd_out_5),
41081
	.regout(),
41082
	.cout(),
41083
	.cascout());
41084
// synopsys translate_off
41085
defparam \zd_out[5]~I .clock_enable_mode = "false";
41086
defparam \zd_out[5]~I .lut_mask = "fc30";
41087
defparam \zd_out[5]~I .operation_mode = "normal";
41088
defparam \zd_out[5]~I .output_mode = "comb_only";
41089
defparam \zd_out[5]~I .packed_mode = "false";
41090
// synopsys translate_on
41091
 
41092
// atom is at LC5_H34
41093
flex10ke_lcell \zd_out[6]~I (
41094
// Equation(s):
41095
// zd_out_6 = cpu_strobe & (\zd_out~54 ) # !cpu_strobe & zd_out_6
41096
 
41097
	.dataa(vcc),
41098
	.datab(cpu_strobe),
41099
	.datac(zd_out_6),
41100
	.datad(\zd_out~54 ),
41101
	.aclr(gnd),
41102
	.aload(gnd),
41103
	.clk(gnd),
41104
	.cin(gnd),
41105
	.cascin(vcc),
41106
	.devclrn(devclrn),
41107
	.devpor(devpor),
41108
	.combout(zd_out_6),
41109
	.regout(),
41110
	.cout(),
41111
	.cascout());
41112
// synopsys translate_off
41113
defparam \zd_out[6]~I .clock_enable_mode = "false";
41114
defparam \zd_out[6]~I .lut_mask = "fc30";
41115
defparam \zd_out[6]~I .operation_mode = "normal";
41116
defparam \zd_out[6]~I .output_mode = "comb_only";
41117
defparam \zd_out[6]~I .packed_mode = "false";
41118
// synopsys translate_on
41119
 
41120
// atom is at LC6_H23
41121
flex10ke_lcell \zd_out[7]~I (
41122
// Equation(s):
41123
// zd_out_7 = cpu_strobe & (\zd_out~55 ) # !cpu_strobe & zd_out_7
41124
 
41125
	.dataa(vcc),
41126
	.datab(cpu_strobe),
41127
	.datac(zd_out_7),
41128
	.datad(\zd_out~55 ),
41129
	.aclr(gnd),
41130
	.aload(gnd),
41131
	.clk(gnd),
41132
	.cin(gnd),
41133
	.cascin(vcc),
41134
	.devclrn(devclrn),
41135
	.devpor(devpor),
41136
	.combout(zd_out_7),
41137
	.regout(),
41138
	.cout(),
41139
	.cascout());
41140
// synopsys translate_off
41141
defparam \zd_out[7]~I .clock_enable_mode = "false";
41142
defparam \zd_out[7]~I .lut_mask = "fc30";
41143
defparam \zd_out[7]~I .operation_mode = "normal";
41144
defparam \zd_out[7]~I .output_mode = "comb_only";
41145
defparam \zd_out[7]~I .packed_mode = "false";
41146
// synopsys translate_on
41147
 
41148
// atom is at LC5_A13
41149
flex10ke_lcell \Mux8~2_I (
41150
// Equation(s):
41151
// \Mux8~2  = \a~dataout [15] & (romnram # \a~dataout [14]) # !\a~dataout [15] & romnram & (!\a~dataout [14])
41152
 
41153
	.dataa(romnram2),
41154
	.datab(a_15),
41155
	.datac(romnram1),
41156
	.datad(a_14),
41157
	.aclr(gnd),
41158
	.aload(gnd),
41159
	.clk(gnd),
41160
	.cin(gnd),
41161
	.cascin(vcc),
41162
	.devclrn(devclrn),
41163
	.devpor(devpor),
41164
	.combout(\Mux8~2 ),
41165
	.regout(),
41166
	.cout(),
41167
	.cascout());
41168
// synopsys translate_off
41169
defparam \Mux8~2_I .clock_enable_mode = "false";
41170
defparam \Mux8~2_I .lut_mask = "cce2";
41171
defparam \Mux8~2_I .operation_mode = "normal";
41172
defparam \Mux8~2_I .output_mode = "comb_only";
41173
defparam \Mux8~2_I .packed_mode = "false";
41174
// synopsys translate_on
41175
 
41176
// atom is at LC1_A4
41177
flex10ke_lcell \Mux7~2_I (
41178
// Equation(s):
41179
// \Mux7~2  = \a~dataout [14] & (page_0 # \a~dataout [15]) # !\a~dataout [14] & page_0 & (!\a~dataout [15])
41180
 
41181
	.dataa(page_02),
41182
	.datab(a_14),
41183
	.datac(page_01),
41184
	.datad(a_15),
41185
	.aclr(gnd),
41186
	.aload(gnd),
41187
	.clk(gnd),
41188
	.cin(gnd),
41189
	.cascin(vcc),
41190
	.devclrn(devclrn),
41191
	.devpor(devpor),
41192
	.combout(\Mux7~2 ),
41193
	.regout(),
41194
	.cout(),
41195
	.cascout());
41196
// synopsys translate_off
41197
defparam \Mux7~2_I .clock_enable_mode = "false";
41198
defparam \Mux7~2_I .lut_mask = "cce2";
41199
defparam \Mux7~2_I .operation_mode = "normal";
41200
defparam \Mux7~2_I .output_mode = "comb_only";
41201
defparam \Mux7~2_I .packed_mode = "false";
41202
// synopsys translate_on
41203
 
41204
// atom is at LC7_B4
41205
flex10ke_lcell \Mux6~2_I (
41206
// Equation(s):
41207
// \Mux6~2  = \a~dataout [15] & (page_1 # \a~dataout [14]) # !\a~dataout [15] & page_1 & (!\a~dataout [14])
41208
 
41209
	.dataa(page_12),
41210
	.datab(a_15),
41211
	.datac(page_11),
41212
	.datad(a_14),
41213
	.aclr(gnd),
41214
	.aload(gnd),
41215
	.clk(gnd),
41216
	.cin(gnd),
41217
	.cascin(vcc),
41218
	.devclrn(devclrn),
41219
	.devpor(devpor),
41220
	.combout(\Mux6~2 ),
41221
	.regout(),
41222
	.cout(),
41223
	.cascout());
41224
// synopsys translate_off
41225
defparam \Mux6~2_I .clock_enable_mode = "false";
41226
defparam \Mux6~2_I .lut_mask = "cce2";
41227
defparam \Mux6~2_I .operation_mode = "normal";
41228
defparam \Mux6~2_I .output_mode = "comb_only";
41229
defparam \Mux6~2_I .packed_mode = "false";
41230
// synopsys translate_on
41231
 
41232
// atom is at LC1_B5
41233
flex10ke_lcell \Mux5~9_I (
41234
// Equation(s):
41235
// \Mux5~9  = \a~dataout [14] & (page_2 # \a~dataout [15]) # !\a~dataout [14] & page_2 & (!\a~dataout [15])
41236
 
41237
	.dataa(page_22),
41238
	.datab(a_14),
41239
	.datac(page_21),
41240
	.datad(a_15),
41241
	.aclr(gnd),
41242
	.aload(gnd),
41243
	.clk(gnd),
41244
	.cin(gnd),
41245
	.cascin(vcc),
41246
	.devclrn(devclrn),
41247
	.devpor(devpor),
41248
	.combout(\Mux5~9 ),
41249
	.regout(),
41250
	.cout(),
41251
	.cascout());
41252
// synopsys translate_off
41253
defparam \Mux5~9_I .clock_enable_mode = "false";
41254
defparam \Mux5~9_I .lut_mask = "cce2";
41255
defparam \Mux5~9_I .operation_mode = "normal";
41256
defparam \Mux5~9_I .output_mode = "comb_only";
41257
defparam \Mux5~9_I .packed_mode = "false";
41258
// synopsys translate_on
41259
 
41260
// atom is at LC3_B6
41261
flex10ke_lcell \Mux4~2_I (
41262
// Equation(s):
41263
// \Mux4~2  = \a~dataout [15] & (page_3 # \a~dataout [14]) # !\a~dataout [15] & page_3 & (!\a~dataout [14])
41264
 
41265
	.dataa(page_32),
41266
	.datab(a_15),
41267
	.datac(page_31),
41268
	.datad(a_14),
41269
	.aclr(gnd),
41270
	.aload(gnd),
41271
	.clk(gnd),
41272
	.cin(gnd),
41273
	.cascin(vcc),
41274
	.devclrn(devclrn),
41275
	.devpor(devpor),
41276
	.combout(\Mux4~2 ),
41277
	.regout(),
41278
	.cout(),
41279
	.cascout());
41280
// synopsys translate_off
41281
defparam \Mux4~2_I .clock_enable_mode = "false";
41282
defparam \Mux4~2_I .lut_mask = "cce2";
41283
defparam \Mux4~2_I .operation_mode = "normal";
41284
defparam \Mux4~2_I .output_mode = "comb_only";
41285
defparam \Mux4~2_I .packed_mode = "false";
41286
// synopsys translate_on
41287
 
41288
// atom is at LC3_B5
41289
flex10ke_lcell \Mux3~2_I (
41290
// Equation(s):
41291
// \Mux3~2  = \a~dataout [14] & (page_4 # \a~dataout [15]) # !\a~dataout [14] & page_4 & (!\a~dataout [15])
41292
 
41293
	.dataa(page_42),
41294
	.datab(a_14),
41295
	.datac(page_41),
41296
	.datad(a_15),
41297
	.aclr(gnd),
41298
	.aload(gnd),
41299
	.clk(gnd),
41300
	.cin(gnd),
41301
	.cascin(vcc),
41302
	.devclrn(devclrn),
41303
	.devpor(devpor),
41304
	.combout(\Mux3~2 ),
41305
	.regout(),
41306
	.cout(),
41307
	.cascout());
41308
// synopsys translate_off
41309
defparam \Mux3~2_I .clock_enable_mode = "false";
41310
defparam \Mux3~2_I .lut_mask = "cce2";
41311
defparam \Mux3~2_I .operation_mode = "normal";
41312
defparam \Mux3~2_I .output_mode = "comb_only";
41313
defparam \Mux3~2_I .packed_mode = "false";
41314
// synopsys translate_on
41315
 
41316
// atom is at LC4_E7
41317
flex10ke_lcell \ramwr~9_I (
41318
// Equation(s):
41319
// \ramwr~9  = !\mreq_n~dataout  & !Mux8 & !\wr_n~dataout  & \rfsh_n~dataout 
41320
 
41321
	.dataa(mreq_n),
41322
	.datab(Mux8),
41323
	.datac(wr_n),
41324
	.datad(rfsh_n),
41325
	.aclr(gnd),
41326
	.aload(gnd),
41327
	.clk(gnd),
41328
	.cin(gnd),
41329
	.cascin(vcc),
41330
	.devclrn(devclrn),
41331
	.devpor(devpor),
41332
	.combout(\ramwr~9 ),
41333
	.regout(),
41334
	.cout(),
41335
	.cascout());
41336
// synopsys translate_off
41337
defparam \ramwr~9_I .clock_enable_mode = "false";
41338
defparam \ramwr~9_I .lut_mask = "0100";
41339
defparam \ramwr~9_I .operation_mode = "normal";
41340
defparam \ramwr~9_I .output_mode = "comb_only";
41341
defparam \ramwr~9_I .packed_mode = "false";
41342
// synopsys translate_on
41343
 
41344
// atom is at LC6_E7
41345
flex10ke_lcell \ramwr_reg~I (
41346
// Equation(s):
41347
// ramwr_reg = DFFEA(\ramwr~9 , GLOBAL(\fclk~dataout ), , , cend, , )
41348
 
41349
	.dataa(cend),
41350
	.datab(vcc),
41351
	.datac(vcc),
41352
	.datad(\ramwr~9 ),
41353
	.aclr(gnd),
41354
	.aload(gnd),
41355
	.clk(fclk),
41356
	.cin(gnd),
41357
	.cascin(vcc),
41358
	.devclrn(devclrn),
41359
	.devpor(devpor),
41360
	.combout(),
41361
	.regout(ramwr_reg),
41362
	.cout(),
41363
	.cascout());
41364
// synopsys translate_off
41365
defparam \ramwr_reg~I .clock_enable_mode = "true";
41366
defparam \ramwr_reg~I .lut_mask = "ff00";
41367
defparam \ramwr_reg~I .operation_mode = "normal";
41368
defparam \ramwr_reg~I .output_mode = "reg_only";
41369
defparam \ramwr_reg~I .packed_mode = "false";
41370
// synopsys translate_on
41371
 
41372
// atom is at LC5_E7
41373
flex10ke_lcell \ramrd_reg~I (
41374
// Equation(s):
41375
// ramrd_reg = DFFEA(cpu_rnw, GLOBAL(\fclk~dataout ), , , cend, , )
41376
 
41377
	.dataa(cend),
41378
	.datab(vcc),
41379
	.datac(vcc),
41380
	.datad(cpu_rnw),
41381
	.aclr(gnd),
41382
	.aload(gnd),
41383
	.clk(fclk),
41384
	.cin(gnd),
41385
	.cascin(vcc),
41386
	.devclrn(devclrn),
41387
	.devpor(devpor),
41388
	.combout(),
41389
	.regout(ramrd_reg),
41390
	.cout(),
41391
	.cascout());
41392
// synopsys translate_off
41393
defparam \ramrd_reg~I .clock_enable_mode = "true";
41394
defparam \ramrd_reg~I .lut_mask = "ff00";
41395
defparam \ramrd_reg~I .operation_mode = "normal";
41396
defparam \ramrd_reg~I .output_mode = "reg_only";
41397
defparam \ramrd_reg~I .packed_mode = "false";
41398
// synopsys translate_on
41399
 
41400
// atom is at LC7_B6
41401
flex10ke_lcell \Mux2~2_I (
41402
// Equation(s):
41403
// \Mux2~2  = \a~dataout [15] & (page_5 # \a~dataout [14]) # !\a~dataout [15] & page_5 & (!\a~dataout [14])
41404
 
41405
	.dataa(page_52),
41406
	.datab(a_15),
41407
	.datac(page_51),
41408
	.datad(a_14),
41409
	.aclr(gnd),
41410
	.aload(gnd),
41411
	.clk(gnd),
41412
	.cin(gnd),
41413
	.cascin(vcc),
41414
	.devclrn(devclrn),
41415
	.devpor(devpor),
41416
	.combout(\Mux2~2 ),
41417
	.regout(),
41418
	.cout(),
41419
	.cascout());
41420
// synopsys translate_off
41421
defparam \Mux2~2_I .clock_enable_mode = "false";
41422
defparam \Mux2~2_I .lut_mask = "cce2";
41423
defparam \Mux2~2_I .operation_mode = "normal";
41424
defparam \Mux2~2_I .output_mode = "comb_only";
41425
defparam \Mux2~2_I .packed_mode = "false";
41426
// synopsys translate_on
41427
 
41428
// atom is at LC3_D27
41429
flex10ke_lcell \Mux1~2_I (
41430
// Equation(s):
41431
// \Mux1~2  = \a~dataout [14] & (page_6 # \a~dataout [15]) # !\a~dataout [14] & page_6 & (!\a~dataout [15])
41432
 
41433
	.dataa(page_62),
41434
	.datab(a_14),
41435
	.datac(page_61),
41436
	.datad(a_15),
41437
	.aclr(gnd),
41438
	.aload(gnd),
41439
	.clk(gnd),
41440
	.cin(gnd),
41441
	.cascin(vcc),
41442
	.devclrn(devclrn),
41443
	.devpor(devpor),
41444
	.combout(\Mux1~2 ),
41445
	.regout(),
41446
	.cout(),
41447
	.cascout());
41448
// synopsys translate_off
41449
defparam \Mux1~2_I .clock_enable_mode = "false";
41450
defparam \Mux1~2_I .lut_mask = "cce2";
41451
defparam \Mux1~2_I .operation_mode = "normal";
41452
defparam \Mux1~2_I .output_mode = "comb_only";
41453
defparam \Mux1~2_I .packed_mode = "false";
41454
// synopsys translate_on
41455
 
41456
// atom is at LC4_D27
41457
flex10ke_lcell \Mux0~2_I (
41458
// Equation(s):
41459
// \Mux0~2  = \a~dataout [15] & (page_7 # \a~dataout [14]) # !\a~dataout [15] & page_7 & (!\a~dataout [14])
41460
 
41461
	.dataa(page_72),
41462
	.datab(a_15),
41463
	.datac(page_71),
41464
	.datad(a_14),
41465
	.aclr(gnd),
41466
	.aload(gnd),
41467
	.clk(gnd),
41468
	.cin(gnd),
41469
	.cascin(vcc),
41470
	.devclrn(devclrn),
41471
	.devpor(devpor),
41472
	.combout(\Mux0~2 ),
41473
	.regout(),
41474
	.cout(),
41475
	.cascout());
41476
// synopsys translate_off
41477
defparam \Mux0~2_I .clock_enable_mode = "false";
41478
defparam \Mux0~2_I .lut_mask = "cce2";
41479
defparam \Mux0~2_I .operation_mode = "normal";
41480
defparam \Mux0~2_I .output_mode = "comb_only";
41481
defparam \Mux0~2_I .packed_mode = "false";
41482
// synopsys translate_on
41483
 
41484
// atom is at LC3_H5
41485
flex10ke_lcell \zd_out~48_I (
41486
// Equation(s):
41487
// \zd_out~48  = \a~dataout [0] & (rddata_0) # !\a~dataout [0] & rddata_8
41488
 
41489
	.dataa(vcc),
41490
	.datab(a_0),
41491
	.datac(rddata_8),
41492
	.datad(rddata_0),
41493
	.aclr(gnd),
41494
	.aload(gnd),
41495
	.clk(gnd),
41496
	.cin(gnd),
41497
	.cascin(vcc),
41498
	.devclrn(devclrn),
41499
	.devpor(devpor),
41500
	.combout(\zd_out~48 ),
41501
	.regout(),
41502
	.cout(),
41503
	.cascout());
41504
// synopsys translate_off
41505
defparam \zd_out~48_I .clock_enable_mode = "false";
41506
defparam \zd_out~48_I .lut_mask = "fc30";
41507
defparam \zd_out~48_I .operation_mode = "normal";
41508
defparam \zd_out~48_I .output_mode = "comb_only";
41509
defparam \zd_out~48_I .packed_mode = "false";
41510
// synopsys translate_on
41511
 
41512
// atom is at LC6_H33
41513
flex10ke_lcell \zd_out~49_I (
41514
// Equation(s):
41515
// \zd_out~49  = \a~dataout [0] & (rddata_1) # !\a~dataout [0] & rddata_9
41516
 
41517
	.dataa(vcc),
41518
	.datab(a_0),
41519
	.datac(rddata_9),
41520
	.datad(rddata_1),
41521
	.aclr(gnd),
41522
	.aload(gnd),
41523
	.clk(gnd),
41524
	.cin(gnd),
41525
	.cascin(vcc),
41526
	.devclrn(devclrn),
41527
	.devpor(devpor),
41528
	.combout(\zd_out~49 ),
41529
	.regout(),
41530
	.cout(),
41531
	.cascout());
41532
// synopsys translate_off
41533
defparam \zd_out~49_I .clock_enable_mode = "false";
41534
defparam \zd_out~49_I .lut_mask = "fc30";
41535
defparam \zd_out~49_I .operation_mode = "normal";
41536
defparam \zd_out~49_I .output_mode = "comb_only";
41537
defparam \zd_out~49_I .packed_mode = "false";
41538
// synopsys translate_on
41539
 
41540
// atom is at LC2_G25
41541
flex10ke_lcell \zd_out~50_I (
41542
// Equation(s):
41543
// \zd_out~50  = \a~dataout [0] & (rddata_2) # !\a~dataout [0] & rddata_10
41544
 
41545
	.dataa(vcc),
41546
	.datab(a_0),
41547
	.datac(rddata_10),
41548
	.datad(rddata_2),
41549
	.aclr(gnd),
41550
	.aload(gnd),
41551
	.clk(gnd),
41552
	.cin(gnd),
41553
	.cascin(vcc),
41554
	.devclrn(devclrn),
41555
	.devpor(devpor),
41556
	.combout(\zd_out~50 ),
41557
	.regout(),
41558
	.cout(),
41559
	.cascout());
41560
// synopsys translate_off
41561
defparam \zd_out~50_I .clock_enable_mode = "false";
41562
defparam \zd_out~50_I .lut_mask = "fc30";
41563
defparam \zd_out~50_I .operation_mode = "normal";
41564
defparam \zd_out~50_I .output_mode = "comb_only";
41565
defparam \zd_out~50_I .packed_mode = "false";
41566
// synopsys translate_on
41567
 
41568
// atom is at LC5_H17
41569
flex10ke_lcell \zd_out~51_I (
41570
// Equation(s):
41571
// \zd_out~51  = \a~dataout [0] & (rddata_3) # !\a~dataout [0] & rddata_11
41572
 
41573
	.dataa(vcc),
41574
	.datab(a_0),
41575
	.datac(rddata_11),
41576
	.datad(rddata_3),
41577
	.aclr(gnd),
41578
	.aload(gnd),
41579
	.clk(gnd),
41580
	.cin(gnd),
41581
	.cascin(vcc),
41582
	.devclrn(devclrn),
41583
	.devpor(devpor),
41584
	.combout(\zd_out~51 ),
41585
	.regout(),
41586
	.cout(),
41587
	.cascout());
41588
// synopsys translate_off
41589
defparam \zd_out~51_I .clock_enable_mode = "false";
41590
defparam \zd_out~51_I .lut_mask = "fc30";
41591
defparam \zd_out~51_I .operation_mode = "normal";
41592
defparam \zd_out~51_I .output_mode = "comb_only";
41593
defparam \zd_out~51_I .packed_mode = "false";
41594
// synopsys translate_on
41595
 
41596
// atom is at LC1_G27
41597
flex10ke_lcell \zd_out~52_I (
41598
// Equation(s):
41599
// \zd_out~52  = \a~dataout [0] & (rddata_4) # !\a~dataout [0] & rddata_12
41600
 
41601
	.dataa(vcc),
41602
	.datab(a_0),
41603
	.datac(rddata_12),
41604
	.datad(rddata_4),
41605
	.aclr(gnd),
41606
	.aload(gnd),
41607
	.clk(gnd),
41608
	.cin(gnd),
41609
	.cascin(vcc),
41610
	.devclrn(devclrn),
41611
	.devpor(devpor),
41612
	.combout(\zd_out~52 ),
41613
	.regout(),
41614
	.cout(),
41615
	.cascout());
41616
// synopsys translate_off
41617
defparam \zd_out~52_I .clock_enable_mode = "false";
41618
defparam \zd_out~52_I .lut_mask = "fc30";
41619
defparam \zd_out~52_I .operation_mode = "normal";
41620
defparam \zd_out~52_I .output_mode = "comb_only";
41621
defparam \zd_out~52_I .packed_mode = "false";
41622
// synopsys translate_on
41623
 
41624
// atom is at LC6_H8
41625
flex10ke_lcell \zd_out~53_I (
41626
// Equation(s):
41627
// \zd_out~53  = \a~dataout [0] & (rddata_5) # !\a~dataout [0] & rddata_13
41628
 
41629
	.dataa(vcc),
41630
	.datab(a_0),
41631
	.datac(rddata_13),
41632
	.datad(rddata_5),
41633
	.aclr(gnd),
41634
	.aload(gnd),
41635
	.clk(gnd),
41636
	.cin(gnd),
41637
	.cascin(vcc),
41638
	.devclrn(devclrn),
41639
	.devpor(devpor),
41640
	.combout(\zd_out~53 ),
41641
	.regout(),
41642
	.cout(),
41643
	.cascout());
41644
// synopsys translate_off
41645
defparam \zd_out~53_I .clock_enable_mode = "false";
41646
defparam \zd_out~53_I .lut_mask = "fc30";
41647
defparam \zd_out~53_I .operation_mode = "normal";
41648
defparam \zd_out~53_I .output_mode = "comb_only";
41649
defparam \zd_out~53_I .packed_mode = "false";
41650
// synopsys translate_on
41651
 
41652
// atom is at LC7_G34
41653
flex10ke_lcell \zd_out~54_I (
41654
// Equation(s):
41655
// \zd_out~54  = \a~dataout [0] & (rddata_6) # !\a~dataout [0] & rddata_14
41656
 
41657
	.dataa(vcc),
41658
	.datab(a_0),
41659
	.datac(rddata_14),
41660
	.datad(rddata_6),
41661
	.aclr(gnd),
41662
	.aload(gnd),
41663
	.clk(gnd),
41664
	.cin(gnd),
41665
	.cascin(vcc),
41666
	.devclrn(devclrn),
41667
	.devpor(devpor),
41668
	.combout(\zd_out~54 ),
41669
	.regout(),
41670
	.cout(),
41671
	.cascout());
41672
// synopsys translate_off
41673
defparam \zd_out~54_I .clock_enable_mode = "false";
41674
defparam \zd_out~54_I .lut_mask = "fc30";
41675
defparam \zd_out~54_I .operation_mode = "normal";
41676
defparam \zd_out~54_I .output_mode = "comb_only";
41677
defparam \zd_out~54_I .packed_mode = "false";
41678
// synopsys translate_on
41679
 
41680
// atom is at LC1_G34
41681
flex10ke_lcell \zd_out~55_I (
41682
// Equation(s):
41683
// \zd_out~55  = \a~dataout [0] & (rddata_7) # !\a~dataout [0] & rddata_15
41684
 
41685
	.dataa(vcc),
41686
	.datab(a_0),
41687
	.datac(rddata_15),
41688
	.datad(rddata_7),
41689
	.aclr(gnd),
41690
	.aload(gnd),
41691
	.clk(gnd),
41692
	.cin(gnd),
41693
	.cascin(vcc),
41694
	.devclrn(devclrn),
41695
	.devpor(devpor),
41696
	.combout(\zd_out~55 ),
41697
	.regout(),
41698
	.cout(),
41699
	.cascout());
41700
// synopsys translate_off
41701
defparam \zd_out~55_I .clock_enable_mode = "false";
41702
defparam \zd_out~55_I .lut_mask = "fc30";
41703
defparam \zd_out~55_I .operation_mode = "normal";
41704
defparam \zd_out~55_I .output_mode = "comb_only";
41705
defparam \zd_out~55_I .packed_mode = "false";
41706
// synopsys translate_on
41707
 
41708
endmodule
41709
 
41710
module zports (
41711
	rst_n,
41712
	romrw_en_reg,
41713
	dos,
41714
	always0,
41715
	porthit,
41716
	ay_bdir,
41717
	ay_bc1,
41718
	beep,
41719
	ide_rd_n,
41720
	ide_cs0_n,
41721
	ide_cs1_n,
41722
	ide_wr_n,
41723
	vg_cs_n,
41724
	sdcs_n,
41725
	zpos,
41726
	p7ffd_int_4,
41727
	atm_pen,
41728
	peff7_int_3,
41729
	p7ffd_int_0,
41730
	p7ffd_int_1,
41731
	p7ffd_int_2,
41732
	p7ffd_int_5,
41733
	peff7_int_2,
41734
	p7ffd_int_6,
41735
	atm_cpm_n,
41736
	peff7_int_0,
41737
	border_1,
41738
	border_2,
41739
	border_0,
41740
	port_wr,
41741
	vg_wrFF,
41742
	sd_stgl_2,
41743
	sd_stgl_1,
41744
	sd_start,
41745
	wait_write_0,
41746
	gluclock_addr_0,
41747
	comport_addr_0,
41748
	wait_start_comport,
41749
	wait_start_gluclock,
41750
	atmF7_wr_fclk,
41751
	pre_bc1,
41752
	p7ffd_int_3,
41753
	wait_write_1,
41754
	gluclock_addr_1,
41755
	comport_addr_1,
41756
	Selector7,
41757
	musy_5,
41758
	musx_5,
41759
	musbtn_5,
41760
	Selector2,
41761
	drq_sync_1,
41762
	cfg0_reg_out_2,
41763
	musy_6,
41764
	musx_6,
41765
	musbtn_6,
41766
	Selector1,
41767
	intrq_sync_1,
41768
	musy_7,
41769
	musx_7,
41770
	musbtn_7,
41771
	Selector0,
41772
	ideout_0,
41773
	ideout_1,
41774
	ideout_2,
41775
	ideout_3,
41776
	ideout_4,
41777
	ideout_5,
41778
	ideout_6,
41779
	ideout_7,
41780
	ideout_8,
41781
	ideout_9,
41782
	ideout_10,
41783
	ideout_11,
41784
	ideout_12,
41785
	ideout_13,
41786
	ideout_14,
41787
	ideout_15,
41788
	peff7_int_4,
41789
	peff7_int_5,
41790
	wait_write_2,
41791
	gluclock_addr_2,
41792
	comport_addr_2,
41793
	p7ffd_int_7,
41794
	wait_write_3,
41795
	gluclock_addr_3,
41796
	wait_reg_0,
41797
	Selector01,
41798
	dataout,
41799
	dout_0,
41800
	Selector71,
41801
	musy_0,
41802
	musx_0,
41803
	musbtn_0,
41804
	kj_data_0,
41805
	Selector72,
41806
	wait_reg_1,
41807
	dout_1,
41808
	Selector6,
41809
	musy_1,
41810
	musx_1,
41811
	musbtn_1,
41812
	kj_data_1,
41813
	Selector61,
41814
	wait_reg_2,
41815
	dout_2,
41816
	Selector5,
41817
	musy_2,
41818
	musx_2,
41819
	musbtn_2,
41820
	kj_data_2,
41821
	Selector51,
41822
	wait_reg_3,
41823
	dout_3,
41824
	Selector4,
41825
	musy_3,
41826
	musx_3,
41827
	musbtn_3,
41828
	kj_data_3,
41829
	Selector41,
41830
	wait_reg_4,
41831
	dout_4,
41832
	Selector3,
41833
	musy_4,
41834
	musx_4,
41835
	musbtn_4,
41836
	kj_data_4,
41837
	Selector31,
41838
	wait_reg_5,
41839
	dout_5,
41840
	Selector21,
41841
	wait_reg_6,
41842
	dout_6,
41843
	Selector11,
41844
	wait_reg_7,
41845
	dout_7,
41846
	Selector02,
41847
	wait_write_4,
41848
	gluclock_addr_4,
41849
	wait_write_5,
41850
	gluclock_addr_5,
41851
	kbd_34,
41852
	kbd_39,
41853
	kbd_33,
41854
	kbd_38,
41855
	kbd_37,
41856
	kbd_32,
41857
	kbd_35,
41858
	kbd_36,
41859
	kbd_26,
41860
	kbd_31,
41861
	kbd_25,
41862
	kbd_30,
41863
	kbd_29,
41864
	kbd_24,
41865
	kbd_27,
41866
	kbd_28,
41867
	kbd_18,
41868
	kbd_23,
41869
	kbd_17,
41870
	kbd_22,
41871
	kbd_21,
41872
	kbd_16,
41873
	kbd_19,
41874
	kbd_20,
41875
	kbd_10,
41876
	kbd_15,
41877
	kbd_9,
41878
	kbd_14,
41879
	kbd_13,
41880
	kbd_8,
41881
	kbd_11,
41882
	kbd_12,
41883
	kbd_2,
41884
	kbd_7,
41885
	kbd_1,
41886
	kbd_6,
41887
	kbd_5,
41888
	kbd_0,
41889
	kbd_3,
41890
	kbd_4,
41891
	wait_write_6,
41892
	gluclock_addr_6,
41893
	wait_write_7,
41894
	gluclock_addr_7,
41895
	wait_rnw,
41896
	Selector62,
41897
	Selector52,
41898
	Selector42,
41899
	Selector32,
41900
	Selector22,
41901
	Selector12,
41902
	a_14,
41903
	a_15,
41904
	rd_n,
41905
	wr_n,
41906
	iorq_n,
41907
	a_3,
41908
	a_4,
41909
	a_0,
41910
	a_1,
41911
	a_2,
41912
	a_6,
41913
	a_5,
41914
	a_7,
41915
	fclk,
41916
	zclk,
41917
	a_8,
41918
	a_11,
41919
	a_12,
41920
	a_13,
41921
	a_10,
41922
	a_9,
41923
	d_0,
41924
	d_1,
41925
	d_2,
41926
	d_3,
41927
	d_4,
41928
	d_5,
41929
	d_6,
41930
	d_7,
41931
	ide_d_0,
41932
	ide_d_1,
41933
	ide_d_2,
41934
	ide_d_3,
41935
	ide_d_4,
41936
	ide_d_5,
41937
	ide_d_6,
41938
	ide_d_7,
41939
	ide_d_8,
41940
	ide_d_9,
41941
	ide_d_10,
41942
	ide_d_11,
41943
	ide_d_12,
41944
	ide_d_13,
41945
	ide_d_14,
41946
	ide_d_15,
41947
	sd_start1,
41948
	ide_rd_n1,
41949
	devpor,
41950
	devclrn,
41951
	devoe);
41952
input 	rst_n;
41953
output 	romrw_en_reg;
41954
input 	dos;
41955
output 	always0;
41956
output 	porthit;
41957
output 	ay_bdir;
41958
output 	ay_bc1;
41959
output 	beep;
41960
output 	ide_rd_n;
41961
output 	ide_cs0_n;
41962
output 	ide_cs1_n;
41963
output 	ide_wr_n;
41964
output 	vg_cs_n;
41965
output 	sdcs_n;
41966
input 	zpos;
41967
output 	p7ffd_int_4;
41968
output 	atm_pen;
41969
output 	peff7_int_3;
41970
output 	p7ffd_int_0;
41971
output 	p7ffd_int_1;
41972
output 	p7ffd_int_2;
41973
output 	p7ffd_int_5;
41974
output 	peff7_int_2;
41975
output 	p7ffd_int_6;
41976
output 	atm_cpm_n;
41977
output 	peff7_int_0;
41978
output 	border_1;
41979
output 	border_2;
41980
output 	border_0;
41981
output 	port_wr;
41982
output 	vg_wrFF;
41983
output 	sd_stgl_2;
41984
output 	sd_stgl_1;
41985
output 	sd_start;
41986
output 	wait_write_0;
41987
output 	gluclock_addr_0;
41988
output 	comport_addr_0;
41989
output 	wait_start_comport;
41990
output 	wait_start_gluclock;
41991
output 	atmF7_wr_fclk;
41992
output 	pre_bc1;
41993
output 	p7ffd_int_3;
41994
output 	wait_write_1;
41995
output 	gluclock_addr_1;
41996
output 	comport_addr_1;
41997
output 	Selector7;
41998
input 	musy_5;
41999
input 	musx_5;
42000
input 	musbtn_5;
42001
output 	Selector2;
42002
input 	drq_sync_1;
42003
input 	cfg0_reg_out_2;
42004
input 	musy_6;
42005
input 	musx_6;
42006
input 	musbtn_6;
42007
output 	Selector1;
42008
input 	intrq_sync_1;
42009
input 	musy_7;
42010
input 	musx_7;
42011
input 	musbtn_7;
42012
output 	Selector0;
42013
output 	ideout_0;
42014
output 	ideout_1;
42015
output 	ideout_2;
42016
output 	ideout_3;
42017
output 	ideout_4;
42018
output 	ideout_5;
42019
output 	ideout_6;
42020
output 	ideout_7;
42021
output 	ideout_8;
42022
output 	ideout_9;
42023
output 	ideout_10;
42024
output 	ideout_11;
42025
output 	ideout_12;
42026
output 	ideout_13;
42027
output 	ideout_14;
42028
output 	ideout_15;
42029
output 	peff7_int_4;
42030
output 	peff7_int_5;
42031
output 	wait_write_2;
42032
output 	gluclock_addr_2;
42033
output 	comport_addr_2;
42034
output 	p7ffd_int_7;
42035
output 	wait_write_3;
42036
output 	gluclock_addr_3;
42037
input 	wait_reg_0;
42038
output 	Selector01;
42039
output 	dataout;
42040
input 	dout_0;
42041
output 	Selector71;
42042
input 	musy_0;
42043
input 	musx_0;
42044
input 	musbtn_0;
42045
input 	kj_data_0;
42046
output 	Selector72;
42047
input 	wait_reg_1;
42048
input 	dout_1;
42049
output 	Selector6;
42050
input 	musy_1;
42051
input 	musx_1;
42052
input 	musbtn_1;
42053
input 	kj_data_1;
42054
output 	Selector61;
42055
input 	wait_reg_2;
42056
input 	dout_2;
42057
output 	Selector5;
42058
input 	musy_2;
42059
input 	musx_2;
42060
input 	musbtn_2;
42061
input 	kj_data_2;
42062
output 	Selector51;
42063
input 	wait_reg_3;
42064
input 	dout_3;
42065
output 	Selector4;
42066
input 	musy_3;
42067
input 	musx_3;
42068
input 	musbtn_3;
42069
input 	kj_data_3;
42070
output 	Selector41;
42071
input 	wait_reg_4;
42072
input 	dout_4;
42073
output 	Selector3;
42074
input 	musy_4;
42075
input 	musx_4;
42076
input 	musbtn_4;
42077
input 	kj_data_4;
42078
output 	Selector31;
42079
input 	wait_reg_5;
42080
input 	dout_5;
42081
output 	Selector21;
42082
input 	wait_reg_6;
42083
input 	dout_6;
42084
output 	Selector11;
42085
input 	wait_reg_7;
42086
input 	dout_7;
42087
output 	Selector02;
42088
output 	wait_write_4;
42089
output 	gluclock_addr_4;
42090
output 	wait_write_5;
42091
output 	gluclock_addr_5;
42092
input 	kbd_34;
42093
input 	kbd_39;
42094
input 	kbd_33;
42095
input 	kbd_38;
42096
input 	kbd_37;
42097
input 	kbd_32;
42098
input 	kbd_35;
42099
input 	kbd_36;
42100
input 	kbd_26;
42101
input 	kbd_31;
42102
input 	kbd_25;
42103
input 	kbd_30;
42104
input 	kbd_29;
42105
input 	kbd_24;
42106
input 	kbd_27;
42107
input 	kbd_28;
42108
input 	kbd_18;
42109
input 	kbd_23;
42110
input 	kbd_17;
42111
input 	kbd_22;
42112
input 	kbd_21;
42113
input 	kbd_16;
42114
input 	kbd_19;
42115
input 	kbd_20;
42116
input 	kbd_10;
42117
input 	kbd_15;
42118
input 	kbd_9;
42119
input 	kbd_14;
42120
input 	kbd_13;
42121
input 	kbd_8;
42122
input 	kbd_11;
42123
input 	kbd_12;
42124
input 	kbd_2;
42125
input 	kbd_7;
42126
input 	kbd_1;
42127
input 	kbd_6;
42128
input 	kbd_5;
42129
input 	kbd_0;
42130
input 	kbd_3;
42131
input 	kbd_4;
42132
output 	wait_write_6;
42133
output 	gluclock_addr_6;
42134
output 	wait_write_7;
42135
output 	gluclock_addr_7;
42136
output 	wait_rnw;
42137
output 	Selector62;
42138
output 	Selector52;
42139
output 	Selector42;
42140
output 	Selector32;
42141
output 	Selector22;
42142
output 	Selector12;
42143
input 	a_14;
42144
input 	a_15;
42145
input 	rd_n;
42146
input 	wr_n;
42147
input 	iorq_n;
42148
input 	a_3;
42149
input 	a_4;
42150
input 	a_0;
42151
input 	a_1;
42152
input 	a_2;
42153
input 	a_6;
42154
input 	a_5;
42155
input 	a_7;
42156
input 	fclk;
42157
input 	zclk;
42158
input 	a_8;
42159
input 	a_11;
42160
input 	a_12;
42161
input 	a_13;
42162
input 	a_10;
42163
input 	a_9;
42164
input 	d_0;
42165
input 	d_1;
42166
input 	d_2;
42167
input 	d_3;
42168
input 	d_4;
42169
input 	d_5;
42170
input 	d_6;
42171
input 	d_7;
42172
input 	ide_d_0;
42173
input 	ide_d_1;
42174
input 	ide_d_2;
42175
input 	ide_d_3;
42176
input 	ide_d_4;
42177
input 	ide_d_5;
42178
input 	ide_d_6;
42179
input 	ide_d_7;
42180
input 	ide_d_8;
42181
input 	ide_d_9;
42182
input 	ide_d_10;
42183
input 	ide_d_11;
42184
input 	ide_d_12;
42185
input 	ide_d_13;
42186
input 	ide_d_14;
42187
input 	ide_d_15;
42188
output 	sd_start1;
42189
output 	ide_rd_n1;
42190
input 	devpor;
42191
input 	devclrn;
42192
input 	devoe;
42193
 
42194
wire gnd = 1'b0;
42195
wire vcc = 1'b1;
42196
 
42197
wire \Equal16~49 ;
42198
wire \porthit~589 ;
42199
wire \always9~80 ;
42200
wire \always26~82 ;
42201
wire \Selector7~1871 ;
42202
wire \dataout~111 ;
42203
wire \Selector7~1873 ;
42204
wire \always6~2 ;
42205
wire \Selector7~1877 ;
42206
wire \Selector7~1883 ;
42207
wire \Selector7~1885 ;
42208
wire \Selector6~1384 ;
42209
wire \Selector6~1388 ;
42210
wire \Selector6~1395 ;
42211
wire \Selector5~1384 ;
42212
wire \Selector5~1388 ;
42213
wire \Selector5~1395 ;
42214
wire \Selector4~1384 ;
42215
wire \Selector4~1388 ;
42216
wire \Selector4~1395 ;
42217
wire \Selector3~1384 ;
42218
wire \Selector3~1388 ;
42219
wire \Selector3~1395 ;
42220
wire \Selector2~1461 ;
42221
wire \Selector2~1465 ;
42222
wire \Selector1~1393 ;
42223
wire \Selector1~1397 ;
42224
wire \Selector0~1463 ;
42225
wire \Selector0~1467 ;
42226
wire \Selector7~1893 ;
42227
wire \Selector7~1895 ;
42228
wire \Selector6~1403 ;
42229
wire \Selector6~1405 ;
42230
wire \Selector5~1403 ;
42231
wire \Selector5~1405 ;
42232
wire \Selector4~1403 ;
42233
wire \Selector4~1405 ;
42234
wire \Selector3~1403 ;
42235
wire \Selector3~1405 ;
42236
wire port_wr_fclk;
42237
wire \Equal16~48 ;
42238
wire \Equal15~33 ;
42239
wire \Equal15~34 ;
42240
wire zxevbf_wr_fclk;
42241
wire \Equal0~44 ;
42242
wire shadow_en_reg;
42243
wire shadow;
42244
wire \Equal20~46 ;
42245
wire \Equal19~29 ;
42246
wire \Equal9~56 ;
42247
wire \porthit~590 ;
42248
wire \Equal9~57 ;
42249
wire \Equal12~39 ;
42250
wire \Equal13~23 ;
42251
wire \Equal14~22 ;
42252
wire \Equal0~46 ;
42253
wire \Equal0~45 ;
42254
wire \Equal17~39 ;
42255
wire \porthit~588 ;
42256
wire \porthit~599 ;
42257
wire \porthit~592 ;
42258
wire \Equal9~58 ;
42259
wire \Equal16~50 ;
42260
wire \Equal23~24 ;
42261
wire \Equal19~30 ;
42262
wire \porthit~581 ;
42263
wire \porthit~583 ;
42264
wire \vg_cs_n~27 ;
42265
wire portfe_wr;
42266
wire \WideOr1~109 ;
42267
wire iord_reg;
42268
wire port_rd;
42269
wire ide_rd_trig;
42270
wire ide_rd_latch;
42271
wire \iderdeven~0 ;
42272
wire \Equal1~40 ;
42273
wire \Equal1~41 ;
42274
wire ide_wrhi_trig;
42275
wire \always11~20 ;
42276
wire ide_wrlo_trig;
42277
wire ide_wrlo_latch;
42278
wire ide_wrhi_latch;
42279
wire \ide_wr_n~3 ;
42280
wire \sdcfg_wr~122 ;
42281
wire \Equal12~40 ;
42282
wire \sdcfg_wr~123 ;
42283
wire \sdcfg_wr~124 ;
42284
wire \always18~42 ;
42285
wire \always18~43 ;
42286
wire \atm77_wr_fclk~22 ;
42287
wire \wait_start_gluclock~56 ;
42288
wire \always19~42 ;
42289
wire iowr_reg;
42290
wire sd_start_toggle;
42291
wire \wait_start_gluclock~57 ;
42292
wire \wait_write~358 ;
42293
wire \gluclock_addr[0]~56 ;
42294
wire \Selector7~1918 ;
42295
wire \Selector7~1897 ;
42296
wire \Selector7~1856 ;
42297
wire \Selector7~1861 ;
42298
wire \Selector2~1450 ;
42299
wire \Selector2~1451 ;
42300
wire \Equal9~59 ;
42301
wire \Selector2~1449 ;
42302
wire \Selector1~1382 ;
42303
wire \Selector1~1383 ;
42304
wire \Selector1~1380 ;
42305
wire \Selector1~1381 ;
42306
wire \Selector1~1379 ;
42307
wire \Selector0~1452 ;
42308
wire \Selector0~1453 ;
42309
wire \Selector0~1450 ;
42310
wire \Selector0~1451 ;
42311
wire \always10~0 ;
42312
wire \Selector0~1462 ;
42313
wire \Selector0~1481 ;
42314
wire \dataout~116 ;
42315
wire \idein_lo_rd~25 ;
42316
wire \Selector7~1876 ;
42317
wire \Selector7~1874 ;
42318
wire \Selector7~1875 ;
42319
wire \Selector7~1924 ;
42320
wire \Equal1~42 ;
42321
wire \Selector7~1937 ;
42322
wire \Selector7~1901 ;
42323
wire \Selector7~1934 ;
42324
wire \Selector7~1900 ;
42325
wire \Selector7~1884 ;
42326
wire \Selector7~1879 ;
42327
wire \Selector7~1880 ;
42328
wire \Selector7~1931 ;
42329
wire \Selector6~1387 ;
42330
wire \Selector6~1385 ;
42331
wire \Selector6~1386 ;
42332
wire \Selector6~1424 ;
42333
wire \Selector6~1436 ;
42334
wire \Selector6~1411 ;
42335
wire \Selector6~1433 ;
42336
wire \Selector6~1410 ;
42337
wire \Selector6~1394 ;
42338
wire \Selector6~1390 ;
42339
wire \Selector6~1391 ;
42340
wire \Selector6~1430 ;
42341
wire \Selector5~1387 ;
42342
wire \Selector5~1385 ;
42343
wire \Selector5~1386 ;
42344
wire \Selector5~1424 ;
42345
wire \Selector5~1436 ;
42346
wire \Selector5~1411 ;
42347
wire \Selector5~1433 ;
42348
wire \Selector5~1410 ;
42349
wire \Selector5~1394 ;
42350
wire \Selector5~1390 ;
42351
wire \Selector5~1391 ;
42352
wire \Selector5~1430 ;
42353
wire \Selector4~1387 ;
42354
wire \Selector4~1385 ;
42355
wire \Selector4~1386 ;
42356
wire \Selector4~1424 ;
42357
wire \Selector4~1436 ;
42358
wire \Selector4~1411 ;
42359
wire \Selector4~1433 ;
42360
wire \Selector4~1410 ;
42361
wire \Selector4~1394 ;
42362
wire \Selector4~1390 ;
42363
wire \Selector4~1391 ;
42364
wire \Selector4~1430 ;
42365
wire \Selector3~1387 ;
42366
wire \Selector3~1385 ;
42367
wire \Selector3~1386 ;
42368
wire \Selector3~1424 ;
42369
wire \Selector3~1436 ;
42370
wire \Selector3~1411 ;
42371
wire \Selector3~1433 ;
42372
wire \Selector3~1410 ;
42373
wire \Selector3~1394 ;
42374
wire \Selector3~1390 ;
42375
wire \Selector3~1391 ;
42376
wire \Selector3~1430 ;
42377
wire \Selector2~1464 ;
42378
wire \Selector2~1462 ;
42379
wire \Selector2~1463 ;
42380
wire \Selector2~1482 ;
42381
wire \Selector1~1396 ;
42382
wire \Selector1~1394 ;
42383
wire \Selector1~1395 ;
42384
wire \Selector1~1416 ;
42385
wire \Selector0~1466 ;
42386
wire \Selector0~1464 ;
42387
wire \Selector0~1465 ;
42388
wire \Selector0~1486 ;
42389
wire \Selector6~1383 ;
42390
wire \Selector6~1419 ;
42391
wire \Selector5~1383 ;
42392
wire \Selector5~1419 ;
42393
wire \Selector4~1383 ;
42394
wire \Selector4~1419 ;
42395
wire \Selector3~1383 ;
42396
wire \Selector3~1419 ;
42397
wire \Selector2~1460 ;
42398
wire \Selector2~1477 ;
42399
wire \Selector1~1392 ;
42400
wire \Selector1~1411 ;
42401
wire [7:0] idehiin;
42402
wire [15:0] idewrreg;
42403
wire [1:0] iowr_reg_fclk;
42404
wire [7:0] peff7_int;
42405
wire [2:0] sd_stgl;
42406
 
42407
 
42408
// atom is at LC4_H25
42409
flex10ke_lcell \Equal16~49_I (
42410
// Equation(s):
42411
// \Equal16~49  = !\a~dataout [4] & \a~dataout [3]
42412
 
42413
	.dataa(vcc),
42414
	.datab(vcc),
42415
	.datac(a_4),
42416
	.datad(a_3),
42417
	.aclr(gnd),
42418
	.aload(gnd),
42419
	.clk(gnd),
42420
	.cin(gnd),
42421
	.cascin(vcc),
42422
	.devclrn(devclrn),
42423
	.devpor(devpor),
42424
	.combout(\Equal16~49 ),
42425
	.regout(),
42426
	.cout(),
42427
	.cascout());
42428
// synopsys translate_off
42429
defparam \Equal16~49_I .clock_enable_mode = "false";
42430
defparam \Equal16~49_I .lut_mask = "0f00";
42431
defparam \Equal16~49_I .operation_mode = "normal";
42432
defparam \Equal16~49_I .output_mode = "comb_only";
42433
defparam \Equal16~49_I .packed_mode = "false";
42434
// synopsys translate_on
42435
 
42436
// atom is at LC3_D5
42437
flex10ke_lcell \always9~80_I (
42438
// Equation(s):
42439
// \always9~80  = \WideOr1~109  & (port_rd # port_wr) # !\WideOr1~109  & \Equal1~41  & (port_rd # port_wr)
42440
 
42441
	.dataa(\WideOr1~109 ),
42442
	.datab(\Equal1~41 ),
42443
	.datac(port_rd),
42444
	.datad(port_wr),
42445
	.aclr(gnd),
42446
	.aload(gnd),
42447
	.clk(gnd),
42448
	.cin(gnd),
42449
	.cascin(vcc),
42450
	.devclrn(devclrn),
42451
	.devpor(devpor),
42452
	.combout(\always9~80 ),
42453
	.regout(),
42454
	.cout(),
42455
	.cascout());
42456
// synopsys translate_off
42457
defparam \always9~80_I .clock_enable_mode = "false";
42458
defparam \always9~80_I .lut_mask = "eee0";
42459
defparam \always9~80_I .operation_mode = "normal";
42460
defparam \always9~80_I .output_mode = "comb_only";
42461
defparam \always9~80_I .packed_mode = "false";
42462
// synopsys translate_on
42463
 
42464
// atom is at LC5_D12
42465
flex10ke_lcell \always26~82_I (
42466
// Equation(s):
42467
// \always26~82  = \Equal12~40  & (port_rd # !\sdcfg_wr~122  & port_wr)
42468
 
42469
	.dataa(\sdcfg_wr~122 ),
42470
	.datab(port_wr),
42471
	.datac(port_rd),
42472
	.datad(\Equal12~40 ),
42473
	.aclr(gnd),
42474
	.aload(gnd),
42475
	.clk(gnd),
42476
	.cin(gnd),
42477
	.cascin(vcc),
42478
	.devclrn(devclrn),
42479
	.devpor(devpor),
42480
	.combout(\always26~82 ),
42481
	.regout(),
42482
	.cout(),
42483
	.cascout());
42484
// synopsys translate_off
42485
defparam \always26~82_I .clock_enable_mode = "false";
42486
defparam \always26~82_I .lut_mask = "f400";
42487
defparam \always26~82_I .operation_mode = "normal";
42488
defparam \always26~82_I .output_mode = "comb_only";
42489
defparam \always26~82_I .packed_mode = "false";
42490
// synopsys translate_on
42491
 
42492
// atom is at LC6_H24
42493
flex10ke_lcell \Selector7~1873_I (
42494
// Equation(s):
42495
// \Selector7~1873  = \a~dataout [0] & \a~dataout [6] & (\a~dataout [5] # !\a~dataout [7])
42496
 
42497
	.dataa(a_7),
42498
	.datab(a_5),
42499
	.datac(a_0),
42500
	.datad(a_6),
42501
	.aclr(gnd),
42502
	.aload(gnd),
42503
	.clk(gnd),
42504
	.cin(gnd),
42505
	.cascin(vcc),
42506
	.devclrn(devclrn),
42507
	.devpor(devpor),
42508
	.combout(\Selector7~1873 ),
42509
	.regout(),
42510
	.cout(),
42511
	.cascout());
42512
// synopsys translate_off
42513
defparam \Selector7~1873_I .clock_enable_mode = "false";
42514
defparam \Selector7~1873_I .lut_mask = "d000";
42515
defparam \Selector7~1873_I .operation_mode = "normal";
42516
defparam \Selector7~1873_I .output_mode = "comb_only";
42517
defparam \Selector7~1873_I .packed_mode = "false";
42518
// synopsys translate_on
42519
 
42520
// atom is at LC6_D1
42521
flex10ke_lcell \always6~2_I (
42522
// Equation(s):
42523
// \always6~2  = !\a~dataout [14] & peff7_int[7] & (\a~dataout [8] $ shadow)
42524
 
42525
	.dataa(a_14),
42526
	.datab(a_8),
42527
	.datac(shadow),
42528
	.datad(peff7_int[7]),
42529
	.aclr(gnd),
42530
	.aload(gnd),
42531
	.clk(gnd),
42532
	.cin(gnd),
42533
	.cascin(vcc),
42534
	.devclrn(devclrn),
42535
	.devpor(devpor),
42536
	.combout(\always6~2 ),
42537
	.regout(),
42538
	.cout(),
42539
	.cascout());
42540
// synopsys translate_off
42541
defparam \always6~2_I .clock_enable_mode = "false";
42542
defparam \always6~2_I .lut_mask = "1400";
42543
defparam \always6~2_I .operation_mode = "normal";
42544
defparam \always6~2_I .output_mode = "comb_only";
42545
defparam \always6~2_I .packed_mode = "false";
42546
// synopsys translate_on
42547
 
42548
// atom is at LC8_A23
42549
flex10ke_lcell \Selector7~1883_I (
42550
// Equation(s):
42551
// \Selector7~1883  = !\a~dataout [0] & \a~dataout [7]
42552
 
42553
	.dataa(vcc),
42554
	.datab(vcc),
42555
	.datac(a_0),
42556
	.datad(a_7),
42557
	.aclr(gnd),
42558
	.aload(gnd),
42559
	.clk(gnd),
42560
	.cin(gnd),
42561
	.cascin(vcc),
42562
	.devclrn(devclrn),
42563
	.devpor(devpor),
42564
	.combout(\Selector7~1883 ),
42565
	.regout(),
42566
	.cout(),
42567
	.cascout());
42568
// synopsys translate_off
42569
defparam \Selector7~1883_I .clock_enable_mode = "false";
42570
defparam \Selector7~1883_I .lut_mask = "0f00";
42571
defparam \Selector7~1883_I .operation_mode = "normal";
42572
defparam \Selector7~1883_I .output_mode = "comb_only";
42573
defparam \Selector7~1883_I .packed_mode = "false";
42574
// synopsys translate_on
42575
 
42576
// atom is at LC1_D7
42577
flex10ke_lcell \romrw_en_reg~I (
42578
// Equation(s):
42579
// romrw_en_reg = DFFEA(\d[1]~6 , GLOBAL(\fclk~dataout ), rst_out_n, , zxevbf_wr_fclk, , )
42580
 
42581
	.dataa(zxevbf_wr_fclk),
42582
	.datab(vcc),
42583
	.datac(vcc),
42584
	.datad(d_1),
42585
	.aclr(!rst_n),
42586
	.aload(gnd),
42587
	.clk(fclk),
42588
	.cin(gnd),
42589
	.cascin(vcc),
42590
	.devclrn(devclrn),
42591
	.devpor(devpor),
42592
	.combout(),
42593
	.regout(romrw_en_reg),
42594
	.cout(),
42595
	.cascout());
42596
// synopsys translate_off
42597
defparam \romrw_en_reg~I .clock_enable_mode = "true";
42598
defparam \romrw_en_reg~I .lut_mask = "ff00";
42599
defparam \romrw_en_reg~I .operation_mode = "normal";
42600
defparam \romrw_en_reg~I .output_mode = "reg_only";
42601
defparam \romrw_en_reg~I .packed_mode = "false";
42602
// synopsys translate_on
42603
 
42604
// atom is at LC5_D24
42605
flex10ke_lcell \always0~81_I (
42606
// Equation(s):
42607
// always0 = \Equal0~44  & \a~dataout [6] & shadow & \Equal15~33 
42608
 
42609
	.dataa(\Equal0~44 ),
42610
	.datab(a_6),
42611
	.datac(shadow),
42612
	.datad(\Equal15~33 ),
42613
	.aclr(gnd),
42614
	.aload(gnd),
42615
	.clk(gnd),
42616
	.cin(gnd),
42617
	.cascin(vcc),
42618
	.devclrn(devclrn),
42619
	.devpor(devpor),
42620
	.combout(always0),
42621
	.regout(),
42622
	.cout(),
42623
	.cascout());
42624
// synopsys translate_off
42625
defparam \always0~81_I .clock_enable_mode = "false";
42626
defparam \always0~81_I .lut_mask = "8000";
42627
defparam \always0~81_I .operation_mode = "normal";
42628
defparam \always0~81_I .output_mode = "comb_only";
42629
defparam \always0~81_I .packed_mode = "false";
42630
// synopsys translate_on
42631
 
42632
// atom is at LC6_D24
42633
flex10ke_lcell \porthit~584_I (
42634
// Equation(s):
42635
// porthit = \porthit~583  # always0 # !\Equal20~46  & shadow
42636
 
42637
	.dataa(\Equal20~46 ),
42638
	.datab(shadow),
42639
	.datac(\porthit~583 ),
42640
	.datad(always0),
42641
	.aclr(gnd),
42642
	.aload(gnd),
42643
	.clk(gnd),
42644
	.cin(gnd),
42645
	.cascin(vcc),
42646
	.devclrn(devclrn),
42647
	.devpor(devpor),
42648
	.combout(porthit),
42649
	.regout(),
42650
	.cout(),
42651
	.cascout());
42652
// synopsys translate_off
42653
defparam \porthit~584_I .clock_enable_mode = "false";
42654
defparam \porthit~584_I .lut_mask = "fff4";
42655
defparam \porthit~584_I .operation_mode = "normal";
42656
defparam \porthit~584_I .output_mode = "comb_only";
42657
defparam \porthit~584_I .packed_mode = "false";
42658
// synopsys translate_on
42659
 
42660
// atom is at LC8_D18
42661
flex10ke_lcell \ay_bdir~I (
42662
// Equation(s):
42663
// ay_bdir = !\iorq_n~dataout  & !\wr_n~dataout  & \a~dataout [15] & \Equal17~39 
42664
 
42665
	.dataa(iorq_n),
42666
	.datab(wr_n),
42667
	.datac(a_15),
42668
	.datad(\Equal17~39 ),
42669
	.aclr(gnd),
42670
	.aload(gnd),
42671
	.clk(gnd),
42672
	.cin(gnd),
42673
	.cascin(vcc),
42674
	.devclrn(devclrn),
42675
	.devpor(devpor),
42676
	.combout(ay_bdir),
42677
	.regout(),
42678
	.cout(),
42679
	.cascout());
42680
// synopsys translate_off
42681
defparam \ay_bdir~I .clock_enable_mode = "false";
42682
defparam \ay_bdir~I .lut_mask = "1000";
42683
defparam \ay_bdir~I .operation_mode = "normal";
42684
defparam \ay_bdir~I .output_mode = "comb_only";
42685
defparam \ay_bdir~I .packed_mode = "false";
42686
// synopsys translate_on
42687
 
42688
// atom is at LC1_D18
42689
flex10ke_lcell \ay_bc1~38_I (
42690
// Equation(s):
42691
// ay_bc1 = \a~dataout [15] & \a~dataout [14] & \vg_cs_n~27  & \Equal17~39 
42692
 
42693
	.dataa(a_15),
42694
	.datab(a_14),
42695
	.datac(\vg_cs_n~27 ),
42696
	.datad(\Equal17~39 ),
42697
	.aclr(gnd),
42698
	.aload(gnd),
42699
	.clk(gnd),
42700
	.cin(gnd),
42701
	.cascin(vcc),
42702
	.devclrn(devclrn),
42703
	.devpor(devpor),
42704
	.combout(ay_bc1),
42705
	.regout(),
42706
	.cout(),
42707
	.cascout());
42708
// synopsys translate_off
42709
defparam \ay_bc1~38_I .clock_enable_mode = "false";
42710
defparam \ay_bc1~38_I .lut_mask = "8000";
42711
defparam \ay_bc1~38_I .operation_mode = "normal";
42712
defparam \ay_bc1~38_I .output_mode = "comb_only";
42713
defparam \ay_bc1~38_I .packed_mode = "false";
42714
// synopsys translate_on
42715
 
42716
// atom is at LC4_F6
42717
flex10ke_lcell \beep~I (
42718
// Equation(s):
42719
// beep = DFFEA(\d[4]~3  $ \d[3]~4 , GLOBAL(\clkz_in~dataout ), , , portfe_wr, , )
42720
 
42721
	.dataa(portfe_wr),
42722
	.datab(vcc),
42723
	.datac(d_4),
42724
	.datad(d_3),
42725
	.aclr(gnd),
42726
	.aload(gnd),
42727
	.clk(zclk),
42728
	.cin(gnd),
42729
	.cascin(vcc),
42730
	.devclrn(devclrn),
42731
	.devpor(devpor),
42732
	.combout(),
42733
	.regout(beep),
42734
	.cout(),
42735
	.cascout());
42736
// synopsys translate_off
42737
defparam \beep~I .clock_enable_mode = "true";
42738
defparam \beep~I .lut_mask = "0ff0";
42739
defparam \beep~I .operation_mode = "normal";
42740
defparam \beep~I .output_mode = "reg_only";
42741
defparam \beep~I .packed_mode = "false";
42742
// synopsys translate_on
42743
 
42744
// atom is at LC1_D4
42745
flex10ke_lcell \ide_rd_n~64_I (
42746
// Equation(s):
42747
// ide_rd_n = \iorq_n~dataout  # \rd_n~dataout  # \iderdeven~0  # !\WideOr1~109 
42748
 
42749
	.dataa(\WideOr1~109 ),
42750
	.datab(iorq_n),
42751
	.datac(rd_n),
42752
	.datad(\iderdeven~0 ),
42753
	.aclr(gnd),
42754
	.aload(gnd),
42755
	.clk(gnd),
42756
	.cin(gnd),
42757
	.cascin(vcc),
42758
	.devclrn(devclrn),
42759
	.devpor(devpor),
42760
	.combout(ide_rd_n),
42761
	.regout(),
42762
	.cout(),
42763
	.cascout());
42764
// synopsys translate_off
42765
defparam \ide_rd_n~64_I .clock_enable_mode = "false";
42766
defparam \ide_rd_n~64_I .lut_mask = "fffd";
42767
defparam \ide_rd_n~64_I .operation_mode = "normal";
42768
defparam \ide_rd_n~64_I .output_mode = "comb_only";
42769
defparam \ide_rd_n~64_I .packed_mode = "false";
42770
// synopsys translate_on
42771
 
42772
// atom is at LC4_D22
42773
flex10ke_lcell \ide_cs0_n~I (
42774
// Equation(s):
42775
// ide_cs0_n = \a~dataout [3] # !\Equal9~56  # !\a~dataout [4]
42776
 
42777
	.dataa(vcc),
42778
	.datab(a_4),
42779
	.datac(\Equal9~56 ),
42780
	.datad(a_3),
42781
	.aclr(gnd),
42782
	.aload(gnd),
42783
	.clk(gnd),
42784
	.cin(gnd),
42785
	.cascin(vcc),
42786
	.devclrn(devclrn),
42787
	.devpor(devpor),
42788
	.combout(ide_cs0_n),
42789
	.regout(),
42790
	.cout(),
42791
	.cascout());
42792
// synopsys translate_off
42793
defparam \ide_cs0_n~I .clock_enable_mode = "false";
42794
defparam \ide_cs0_n~I .lut_mask = "ff3f";
42795
defparam \ide_cs0_n~I .operation_mode = "normal";
42796
defparam \ide_cs0_n~I .output_mode = "comb_only";
42797
defparam \ide_cs0_n~I .packed_mode = "false";
42798
// synopsys translate_on
42799
 
42800
// atom is at LC6_D32
42801
flex10ke_lcell \ide_cs1_n~I (
42802
// Equation(s):
42803
// ide_cs1_n = \a~dataout [4] # !\Equal9~56  # !\Equal9~57 
42804
 
42805
	.dataa(vcc),
42806
	.datab(\Equal9~57 ),
42807
	.datac(\Equal9~56 ),
42808
	.datad(a_4),
42809
	.aclr(gnd),
42810
	.aload(gnd),
42811
	.clk(gnd),
42812
	.cin(gnd),
42813
	.cascin(vcc),
42814
	.devclrn(devclrn),
42815
	.devpor(devpor),
42816
	.combout(ide_cs1_n),
42817
	.regout(),
42818
	.cout(),
42819
	.cascout());
42820
// synopsys translate_off
42821
defparam \ide_cs1_n~I .clock_enable_mode = "false";
42822
defparam \ide_cs1_n~I .lut_mask = "ff3f";
42823
defparam \ide_cs1_n~I .operation_mode = "normal";
42824
defparam \ide_cs1_n~I .output_mode = "comb_only";
42825
defparam \ide_cs1_n~I .packed_mode = "false";
42826
// synopsys translate_on
42827
 
42828
// atom is at LC8_D5
42829
flex10ke_lcell \ide_wr_n~73_I (
42830
// Equation(s):
42831
// ide_wr_n = \ide_wr_n~3  # \iorq_n~dataout  # \wr_n~dataout  # !\WideOr1~109 
42832
 
42833
	.dataa(\WideOr1~109 ),
42834
	.datab(\ide_wr_n~3 ),
42835
	.datac(iorq_n),
42836
	.datad(wr_n),
42837
	.aclr(gnd),
42838
	.aload(gnd),
42839
	.clk(gnd),
42840
	.cin(gnd),
42841
	.cascin(vcc),
42842
	.devclrn(devclrn),
42843
	.devpor(devpor),
42844
	.combout(ide_wr_n),
42845
	.regout(),
42846
	.cout(),
42847
	.cascout());
42848
// synopsys translate_off
42849
defparam \ide_wr_n~73_I .clock_enable_mode = "false";
42850
defparam \ide_wr_n~73_I .lut_mask = "fffd";
42851
defparam \ide_wr_n~73_I .operation_mode = "normal";
42852
defparam \ide_wr_n~73_I .output_mode = "comb_only";
42853
defparam \ide_wr_n~73_I .packed_mode = "false";
42854
// synopsys translate_on
42855
 
42856
// atom is at LC7_D24
42857
flex10ke_lcell \vg_cs_n~I (
42858
// Equation(s):
42859
// vg_cs_n = !\Equal19~30  & \Equal20~46  # !\vg_cs_n~27  # !shadow
42860
 
42861
	.dataa(shadow),
42862
	.datab(\vg_cs_n~27 ),
42863
	.datac(\Equal19~30 ),
42864
	.datad(\Equal20~46 ),
42865
	.aclr(gnd),
42866
	.aload(gnd),
42867
	.clk(gnd),
42868
	.cin(gnd),
42869
	.cascin(vcc),
42870
	.devclrn(devclrn),
42871
	.devpor(devpor),
42872
	.combout(vg_cs_n),
42873
	.regout(),
42874
	.cout(),
42875
	.cascout());
42876
// synopsys translate_off
42877
defparam \vg_cs_n~I .clock_enable_mode = "false";
42878
defparam \vg_cs_n~I .lut_mask = "7f77";
42879
defparam \vg_cs_n~I .operation_mode = "normal";
42880
defparam \vg_cs_n~I .output_mode = "comb_only";
42881
defparam \vg_cs_n~I .packed_mode = "false";
42882
// synopsys translate_on
42883
 
42884
// atom is at LC2_D12
42885
flex10ke_lcell \sdcs_n~I (
42886
// Equation(s):
42887
// sdcs_n = DFFEA(!\d[1]~6 , GLOBAL(\clkz_in~dataout ), rst_out_n, , \sdcfg_wr~124 , , )
42888
 
42889
	.dataa(\sdcfg_wr~124 ),
42890
	.datab(vcc),
42891
	.datac(vcc),
42892
	.datad(d_1),
42893
	.aclr(!rst_n),
42894
	.aload(gnd),
42895
	.clk(zclk),
42896
	.cin(gnd),
42897
	.cascin(vcc),
42898
	.devclrn(devclrn),
42899
	.devpor(devpor),
42900
	.combout(),
42901
	.regout(sdcs_n),
42902
	.cout(),
42903
	.cascout());
42904
// synopsys translate_off
42905
defparam \sdcs_n~I .clock_enable_mode = "true";
42906
defparam \sdcs_n~I .lut_mask = "00ff";
42907
defparam \sdcs_n~I .operation_mode = "normal";
42908
defparam \sdcs_n~I .output_mode = "reg_only";
42909
defparam \sdcs_n~I .packed_mode = "false";
42910
// synopsys translate_on
42911
 
42912
// atom is at LC4_A3
42913
flex10ke_lcell \p7ffd_int[4]~I (
42914
// Equation(s):
42915
// p7ffd_int_4 = DFFEA(\d[4]~3 , GLOBAL(\clkz_in~dataout ), rst_out_n, , \always18~43 , , )
42916
 
42917
	.dataa(\always18~43 ),
42918
	.datab(vcc),
42919
	.datac(vcc),
42920
	.datad(d_4),
42921
	.aclr(!rst_n),
42922
	.aload(gnd),
42923
	.clk(zclk),
42924
	.cin(gnd),
42925
	.cascin(vcc),
42926
	.devclrn(devclrn),
42927
	.devpor(devpor),
42928
	.combout(),
42929
	.regout(p7ffd_int_4),
42930
	.cout(),
42931
	.cascout());
42932
// synopsys translate_off
42933
defparam \p7ffd_int[4]~I .clock_enable_mode = "true";
42934
defparam \p7ffd_int[4]~I .lut_mask = "ff00";
42935
defparam \p7ffd_int[4]~I .operation_mode = "normal";
42936
defparam \p7ffd_int[4]~I .output_mode = "reg_only";
42937
defparam \p7ffd_int[4]~I .packed_mode = "false";
42938
// synopsys translate_on
42939
 
42940
// atom is at LC1_D8
42941
flex10ke_lcell \atm_pen~I (
42942
// Equation(s):
42943
// atm_pen = DFFEA(\a~dataout [8], GLOBAL(\fclk~dataout ), rst_out_n, , \atm77_wr_fclk~22 , , )
42944
 
42945
	.dataa(\atm77_wr_fclk~22 ),
42946
	.datab(vcc),
42947
	.datac(vcc),
42948
	.datad(a_8),
42949
	.aclr(!rst_n),
42950
	.aload(gnd),
42951
	.clk(fclk),
42952
	.cin(gnd),
42953
	.cascin(vcc),
42954
	.devclrn(devclrn),
42955
	.devpor(devpor),
42956
	.combout(),
42957
	.regout(atm_pen),
42958
	.cout(),
42959
	.cascout());
42960
// synopsys translate_off
42961
defparam \atm_pen~I .clock_enable_mode = "true";
42962
defparam \atm_pen~I .lut_mask = "ff00";
42963
defparam \atm_pen~I .operation_mode = "normal";
42964
defparam \atm_pen~I .output_mode = "reg_only";
42965
defparam \atm_pen~I .packed_mode = "false";
42966
// synopsys translate_on
42967
 
42968
// atom is at LC2_F3
42969
flex10ke_lcell \peff7_int[3]~I (
42970
// Equation(s):
42971
// peff7_int_3 = DFFEA(\d[3]~4 , GLOBAL(\clkz_in~dataout ), rst_out_n, , \always19~42 , , )
42972
 
42973
	.dataa(\always19~42 ),
42974
	.datab(vcc),
42975
	.datac(vcc),
42976
	.datad(d_3),
42977
	.aclr(!rst_n),
42978
	.aload(gnd),
42979
	.clk(zclk),
42980
	.cin(gnd),
42981
	.cascin(vcc),
42982
	.devclrn(devclrn),
42983
	.devpor(devpor),
42984
	.combout(),
42985
	.regout(peff7_int_3),
42986
	.cout(),
42987
	.cascout());
42988
// synopsys translate_off
42989
defparam \peff7_int[3]~I .clock_enable_mode = "true";
42990
defparam \peff7_int[3]~I .lut_mask = "ff00";
42991
defparam \peff7_int[3]~I .operation_mode = "normal";
42992
defparam \peff7_int[3]~I .output_mode = "reg_only";
42993
defparam \peff7_int[3]~I .packed_mode = "false";
42994
// synopsys translate_on
42995
 
42996
// atom is at LC7_F3
42997
flex10ke_lcell \p7ffd_int[0]~I (
42998
// Equation(s):
42999
// p7ffd_int_0 = DFFEA(\d[0]~7 , GLOBAL(\clkz_in~dataout ), rst_out_n, , \always18~43 , , )
43000
 
43001
	.dataa(\always18~43 ),
43002
	.datab(vcc),
43003
	.datac(vcc),
43004
	.datad(d_0),
43005
	.aclr(!rst_n),
43006
	.aload(gnd),
43007
	.clk(zclk),
43008
	.cin(gnd),
43009
	.cascin(vcc),
43010
	.devclrn(devclrn),
43011
	.devpor(devpor),
43012
	.combout(),
43013
	.regout(p7ffd_int_0),
43014
	.cout(),
43015
	.cascout());
43016
// synopsys translate_off
43017
defparam \p7ffd_int[0]~I .clock_enable_mode = "true";
43018
defparam \p7ffd_int[0]~I .lut_mask = "ff00";
43019
defparam \p7ffd_int[0]~I .operation_mode = "normal";
43020
defparam \p7ffd_int[0]~I .output_mode = "reg_only";
43021
defparam \p7ffd_int[0]~I .packed_mode = "false";
43022
// synopsys translate_on
43023
 
43024
// atom is at LC2_B35
43025
flex10ke_lcell \p7ffd_int[1]~I (
43026
// Equation(s):
43027
// p7ffd_int_1 = DFFEA(\d[1]~6 , GLOBAL(\clkz_in~dataout ), rst_out_n, , \always18~43 , , )
43028
 
43029
	.dataa(\always18~43 ),
43030
	.datab(vcc),
43031
	.datac(vcc),
43032
	.datad(d_1),
43033
	.aclr(!rst_n),
43034
	.aload(gnd),
43035
	.clk(zclk),
43036
	.cin(gnd),
43037
	.cascin(vcc),
43038
	.devclrn(devclrn),
43039
	.devpor(devpor),
43040
	.combout(),
43041
	.regout(p7ffd_int_1),
43042
	.cout(),
43043
	.cascout());
43044
// synopsys translate_off
43045
defparam \p7ffd_int[1]~I .clock_enable_mode = "true";
43046
defparam \p7ffd_int[1]~I .lut_mask = "ff00";
43047
defparam \p7ffd_int[1]~I .operation_mode = "normal";
43048
defparam \p7ffd_int[1]~I .output_mode = "reg_only";
43049
defparam \p7ffd_int[1]~I .packed_mode = "false";
43050
// synopsys translate_on
43051
 
43052
// atom is at LC2_B17
43053
flex10ke_lcell \p7ffd_int[2]~I (
43054
// Equation(s):
43055
// p7ffd_int_2 = DFFEA(\d[2]~5 , GLOBAL(\clkz_in~dataout ), rst_out_n, , \always18~43 , , )
43056
 
43057
	.dataa(\always18~43 ),
43058
	.datab(vcc),
43059
	.datac(vcc),
43060
	.datad(d_2),
43061
	.aclr(!rst_n),
43062
	.aload(gnd),
43063
	.clk(zclk),
43064
	.cin(gnd),
43065
	.cascin(vcc),
43066
	.devclrn(devclrn),
43067
	.devpor(devpor),
43068
	.combout(),
43069
	.regout(p7ffd_int_2),
43070
	.cout(),
43071
	.cascout());
43072
// synopsys translate_off
43073
defparam \p7ffd_int[2]~I .clock_enable_mode = "true";
43074
defparam \p7ffd_int[2]~I .lut_mask = "ff00";
43075
defparam \p7ffd_int[2]~I .operation_mode = "normal";
43076
defparam \p7ffd_int[2]~I .output_mode = "reg_only";
43077
defparam \p7ffd_int[2]~I .packed_mode = "false";
43078
// synopsys translate_on
43079
 
43080
// atom is at LC3_B35
43081
flex10ke_lcell \p7ffd_int[5]~I (
43082
// Equation(s):
43083
// p7ffd_int_5 = DFFEA(\d[5]~2 , GLOBAL(\clkz_in~dataout ), rst_out_n, , \always18~43 , , )
43084
 
43085
	.dataa(\always18~43 ),
43086
	.datab(vcc),
43087
	.datac(vcc),
43088
	.datad(d_5),
43089
	.aclr(!rst_n),
43090
	.aload(gnd),
43091
	.clk(zclk),
43092
	.cin(gnd),
43093
	.cascin(vcc),
43094
	.devclrn(devclrn),
43095
	.devpor(devpor),
43096
	.combout(),
43097
	.regout(p7ffd_int_5),
43098
	.cout(),
43099
	.cascout());
43100
// synopsys translate_off
43101
defparam \p7ffd_int[5]~I .clock_enable_mode = "true";
43102
defparam \p7ffd_int[5]~I .lut_mask = "ff00";
43103
defparam \p7ffd_int[5]~I .operation_mode = "normal";
43104
defparam \p7ffd_int[5]~I .output_mode = "reg_only";
43105
defparam \p7ffd_int[5]~I .packed_mode = "false";
43106
// synopsys translate_on
43107
 
43108
// atom is at LC6_F3
43109
flex10ke_lcell \peff7_int[2]~I (
43110
// Equation(s):
43111
// peff7_int_2 = DFFEA(\d[2]~5 , GLOBAL(\clkz_in~dataout ), rst_out_n, , \always19~42 , , )
43112
 
43113
	.dataa(\always19~42 ),
43114
	.datab(vcc),
43115
	.datac(vcc),
43116
	.datad(d_2),
43117
	.aclr(!rst_n),
43118
	.aload(gnd),
43119
	.clk(zclk),
43120
	.cin(gnd),
43121
	.cascin(vcc),
43122
	.devclrn(devclrn),
43123
	.devpor(devpor),
43124
	.combout(),
43125
	.regout(peff7_int_2),
43126
	.cout(),
43127
	.cascout());
43128
// synopsys translate_off
43129
defparam \peff7_int[2]~I .clock_enable_mode = "true";
43130
defparam \peff7_int[2]~I .lut_mask = "ff00";
43131
defparam \peff7_int[2]~I .operation_mode = "normal";
43132
defparam \peff7_int[2]~I .output_mode = "reg_only";
43133
defparam \peff7_int[2]~I .packed_mode = "false";
43134
// synopsys translate_on
43135
 
43136
// atom is at LC1_B35
43137
flex10ke_lcell \p7ffd_int[6]~I (
43138
// Equation(s):
43139
// p7ffd_int_6 = DFFEA(\d[6]~1 , GLOBAL(\clkz_in~dataout ), rst_out_n, , \always18~43 , , )
43140
 
43141
	.dataa(\always18~43 ),
43142
	.datab(vcc),
43143
	.datac(vcc),
43144
	.datad(d_6),
43145
	.aclr(!rst_n),
43146
	.aload(gnd),
43147
	.clk(zclk),
43148
	.cin(gnd),
43149
	.cascin(vcc),
43150
	.devclrn(devclrn),
43151
	.devpor(devpor),
43152
	.combout(),
43153
	.regout(p7ffd_int_6),
43154
	.cout(),
43155
	.cascout());
43156
// synopsys translate_off
43157
defparam \p7ffd_int[6]~I .clock_enable_mode = "true";
43158
defparam \p7ffd_int[6]~I .lut_mask = "ff00";
43159
defparam \p7ffd_int[6]~I .operation_mode = "normal";
43160
defparam \p7ffd_int[6]~I .output_mode = "reg_only";
43161
defparam \p7ffd_int[6]~I .packed_mode = "false";
43162
// synopsys translate_on
43163
 
43164
// atom is at LC5_D8
43165
flex10ke_lcell \atm_cpm_n~I (
43166
// Equation(s):
43167
// atm_cpm_n = DFFEA(\a~dataout [9], GLOBAL(\fclk~dataout ), rst_out_n, , \atm77_wr_fclk~22 , , )
43168
 
43169
	.dataa(\atm77_wr_fclk~22 ),
43170
	.datab(vcc),
43171
	.datac(vcc),
43172
	.datad(a_9),
43173
	.aclr(!rst_n),
43174
	.aload(gnd),
43175
	.clk(fclk),
43176
	.cin(gnd),
43177
	.cascin(vcc),
43178
	.devclrn(devclrn),
43179
	.devpor(devpor),
43180
	.combout(),
43181
	.regout(atm_cpm_n),
43182
	.cout(),
43183
	.cascout());
43184
// synopsys translate_off
43185
defparam \atm_cpm_n~I .clock_enable_mode = "true";
43186
defparam \atm_cpm_n~I .lut_mask = "ff00";
43187
defparam \atm_cpm_n~I .operation_mode = "normal";
43188
defparam \atm_cpm_n~I .output_mode = "reg_only";
43189
defparam \atm_cpm_n~I .packed_mode = "false";
43190
// synopsys translate_on
43191
 
43192
// atom is at LC1_F3
43193
flex10ke_lcell \peff7_int[0]~I (
43194
// Equation(s):
43195
// peff7_int_0 = DFFEA(\d[0]~7 , GLOBAL(\clkz_in~dataout ), rst_out_n, , \always19~42 , , )
43196
 
43197
	.dataa(\always19~42 ),
43198
	.datab(vcc),
43199
	.datac(vcc),
43200
	.datad(d_0),
43201
	.aclr(!rst_n),
43202
	.aload(gnd),
43203
	.clk(zclk),
43204
	.cin(gnd),
43205
	.cascin(vcc),
43206
	.devclrn(devclrn),
43207
	.devpor(devpor),
43208
	.combout(),
43209
	.regout(peff7_int_0),
43210
	.cout(),
43211
	.cascout());
43212
// synopsys translate_off
43213
defparam \peff7_int[0]~I .clock_enable_mode = "true";
43214
defparam \peff7_int[0]~I .lut_mask = "ff00";
43215
defparam \peff7_int[0]~I .operation_mode = "normal";
43216
defparam \peff7_int[0]~I .output_mode = "reg_only";
43217
defparam \peff7_int[0]~I .packed_mode = "false";
43218
// synopsys translate_on
43219
 
43220
// atom is at LC6_F6
43221
flex10ke_lcell \border[1]~I (
43222
// Equation(s):
43223
// border_1 = DFFEA(\d[1]~6 , GLOBAL(\clkz_in~dataout ), , , portfe_wr, , )
43224
 
43225
	.dataa(portfe_wr),
43226
	.datab(vcc),
43227
	.datac(vcc),
43228
	.datad(d_1),
43229
	.aclr(gnd),
43230
	.aload(gnd),
43231
	.clk(zclk),
43232
	.cin(gnd),
43233
	.cascin(vcc),
43234
	.devclrn(devclrn),
43235
	.devpor(devpor),
43236
	.combout(),
43237
	.regout(border_1),
43238
	.cout(),
43239
	.cascout());
43240
// synopsys translate_off
43241
defparam \border[1]~I .clock_enable_mode = "true";
43242
defparam \border[1]~I .lut_mask = "ff00";
43243
defparam \border[1]~I .operation_mode = "normal";
43244
defparam \border[1]~I .output_mode = "reg_only";
43245
defparam \border[1]~I .packed_mode = "false";
43246
// synopsys translate_on
43247
 
43248
// atom is at LC3_F6
43249
flex10ke_lcell \border[2]~I (
43250
// Equation(s):
43251
// border_2 = DFFEA(\d[2]~5 , GLOBAL(\clkz_in~dataout ), , , portfe_wr, , )
43252
 
43253
	.dataa(portfe_wr),
43254
	.datab(vcc),
43255
	.datac(vcc),
43256
	.datad(d_2),
43257
	.aclr(gnd),
43258
	.aload(gnd),
43259
	.clk(zclk),
43260
	.cin(gnd),
43261
	.cascin(vcc),
43262
	.devclrn(devclrn),
43263
	.devpor(devpor),
43264
	.combout(),
43265
	.regout(border_2),
43266
	.cout(),
43267
	.cascout());
43268
// synopsys translate_off
43269
defparam \border[2]~I .clock_enable_mode = "true";
43270
defparam \border[2]~I .lut_mask = "ff00";
43271
defparam \border[2]~I .operation_mode = "normal";
43272
defparam \border[2]~I .output_mode = "reg_only";
43273
defparam \border[2]~I .packed_mode = "false";
43274
// synopsys translate_on
43275
 
43276
// atom is at LC8_F6
43277
flex10ke_lcell \border[0]~I (
43278
// Equation(s):
43279
// border_0 = DFFEA(\d[0]~7 , GLOBAL(\clkz_in~dataout ), , , portfe_wr, , )
43280
 
43281
	.dataa(portfe_wr),
43282
	.datab(vcc),
43283
	.datac(vcc),
43284
	.datad(d_0),
43285
	.aclr(gnd),
43286
	.aload(gnd),
43287
	.clk(zclk),
43288
	.cin(gnd),
43289
	.cascin(vcc),
43290
	.devclrn(devclrn),
43291
	.devpor(devpor),
43292
	.combout(),
43293
	.regout(border_0),
43294
	.cout(),
43295
	.cascout());
43296
// synopsys translate_off
43297
defparam \border[0]~I .clock_enable_mode = "true";
43298
defparam \border[0]~I .lut_mask = "ff00";
43299
defparam \border[0]~I .operation_mode = "normal";
43300
defparam \border[0]~I .output_mode = "reg_only";
43301
defparam \border[0]~I .packed_mode = "false";
43302
// synopsys translate_on
43303
 
43304
// atom is at LC4_D5
43305
flex10ke_lcell \port_wr~I (
43306
// Equation(s):
43307
// port_wr = DFFEA(!iowr_reg & !\iorq_n~dataout  & !\wr_n~dataout , GLOBAL(\clkz_in~dataout ), , , , , )
43308
 
43309
	.dataa(vcc),
43310
	.datab(iowr_reg),
43311
	.datac(iorq_n),
43312
	.datad(wr_n),
43313
	.aclr(gnd),
43314
	.aload(gnd),
43315
	.clk(zclk),
43316
	.cin(gnd),
43317
	.cascin(vcc),
43318
	.devclrn(devclrn),
43319
	.devpor(devpor),
43320
	.combout(),
43321
	.regout(port_wr),
43322
	.cout(),
43323
	.cascout());
43324
// synopsys translate_off
43325
defparam \port_wr~I .clock_enable_mode = "false";
43326
defparam \port_wr~I .lut_mask = "0003";
43327
defparam \port_wr~I .operation_mode = "normal";
43328
defparam \port_wr~I .output_mode = "reg_only";
43329
defparam \port_wr~I .packed_mode = "false";
43330
// synopsys translate_on
43331
 
43332
// atom is at LC4_B35
43333
flex10ke_lcell \vg_wrFF~I (
43334
// Equation(s):
43335
// vg_wrFF = port_wr & always0
43336
 
43337
	.dataa(vcc),
43338
	.datab(vcc),
43339
	.datac(port_wr),
43340
	.datad(always0),
43341
	.aclr(gnd),
43342
	.aload(gnd),
43343
	.clk(gnd),
43344
	.cin(gnd),
43345
	.cascin(vcc),
43346
	.devclrn(devclrn),
43347
	.devpor(devpor),
43348
	.combout(vg_wrFF),
43349
	.regout(),
43350
	.cout(),
43351
	.cascout());
43352
// synopsys translate_off
43353
defparam \vg_wrFF~I .clock_enable_mode = "false";
43354
defparam \vg_wrFF~I .lut_mask = "f000";
43355
defparam \vg_wrFF~I .operation_mode = "normal";
43356
defparam \vg_wrFF~I .output_mode = "comb_only";
43357
defparam \vg_wrFF~I .packed_mode = "false";
43358
// synopsys translate_on
43359
 
43360
// atom is at LC2_J16
43361
flex10ke_lcell \sd_stgl[2]~I (
43362
// Equation(s):
43363
// sd_stgl_2 = DFFEA(sd_stgl_1, GLOBAL(\fclk~dataout ), , , , , )
43364
 
43365
	.dataa(vcc),
43366
	.datab(vcc),
43367
	.datac(vcc),
43368
	.datad(sd_stgl_1),
43369
	.aclr(gnd),
43370
	.aload(gnd),
43371
	.clk(fclk),
43372
	.cin(gnd),
43373
	.cascin(vcc),
43374
	.devclrn(devclrn),
43375
	.devpor(devpor),
43376
	.combout(),
43377
	.regout(sd_stgl_2),
43378
	.cout(),
43379
	.cascout());
43380
// synopsys translate_off
43381
defparam \sd_stgl[2]~I .clock_enable_mode = "false";
43382
defparam \sd_stgl[2]~I .lut_mask = "ff00";
43383
defparam \sd_stgl[2]~I .operation_mode = "normal";
43384
defparam \sd_stgl[2]~I .output_mode = "reg_only";
43385
defparam \sd_stgl[2]~I .packed_mode = "false";
43386
// synopsys translate_on
43387
 
43388
// atom is at LC3_J16
43389
flex10ke_lcell \sd_stgl[1]~I (
43390
// Equation(s):
43391
// sd_stgl_1 = DFFEA(sd_stgl[0], GLOBAL(\fclk~dataout ), , , , , )
43392
 
43393
	.dataa(vcc),
43394
	.datab(vcc),
43395
	.datac(vcc),
43396
	.datad(sd_stgl[0]),
43397
	.aclr(gnd),
43398
	.aload(gnd),
43399
	.clk(fclk),
43400
	.cin(gnd),
43401
	.cascin(vcc),
43402
	.devclrn(devclrn),
43403
	.devpor(devpor),
43404
	.combout(),
43405
	.regout(sd_stgl_1),
43406
	.cout(),
43407
	.cascout());
43408
// synopsys translate_off
43409
defparam \sd_stgl[1]~I .clock_enable_mode = "false";
43410
defparam \sd_stgl[1]~I .lut_mask = "ff00";
43411
defparam \sd_stgl[1]~I .operation_mode = "normal";
43412
defparam \sd_stgl[1]~I .output_mode = "reg_only";
43413
defparam \sd_stgl[1]~I .packed_mode = "false";
43414
// synopsys translate_on
43415
 
43416
// atom is at LC1_J16
43417
flex10ke_lcell \sd_start~I (
43418
// Equation(s):
43419
// sd_start = sd_stgl_1 $ sd_stgl_2
43420
 
43421
	.dataa(vcc),
43422
	.datab(vcc),
43423
	.datac(sd_stgl_1),
43424
	.datad(sd_stgl_2),
43425
	.aclr(gnd),
43426
	.aload(gnd),
43427
	.clk(gnd),
43428
	.cin(gnd),
43429
	.cascin(vcc),
43430
	.devclrn(devclrn),
43431
	.devpor(devpor),
43432
	.combout(sd_start),
43433
	.regout(),
43434
	.cout(),
43435
	.cascout());
43436
// synopsys translate_off
43437
defparam \sd_start~I .clock_enable_mode = "false";
43438
defparam \sd_start~I .lut_mask = "0ff0";
43439
defparam \sd_start~I .operation_mode = "normal";
43440
defparam \sd_start~I .output_mode = "comb_only";
43441
defparam \sd_start~I .packed_mode = "false";
43442
// synopsys translate_on
43443
 
43444
// atom is at LC4_D1
43445
flex10ke_lcell \wait_write[0]~I (
43446
// Equation(s):
43447
// wait_write_0 = DFFEA(\d[0]~7 , GLOBAL(\clkz_in~dataout ), , , \wait_write~358 , , )
43448
 
43449
	.dataa(\wait_write~358 ),
43450
	.datab(vcc),
43451
	.datac(vcc),
43452
	.datad(d_0),
43453
	.aclr(gnd),
43454
	.aload(gnd),
43455
	.clk(zclk),
43456
	.cin(gnd),
43457
	.cascin(vcc),
43458
	.devclrn(devclrn),
43459
	.devpor(devpor),
43460
	.combout(),
43461
	.regout(wait_write_0),
43462
	.cout(),
43463
	.cascout());
43464
// synopsys translate_off
43465
defparam \wait_write[0]~I .clock_enable_mode = "true";
43466
defparam \wait_write[0]~I .lut_mask = "ff00";
43467
defparam \wait_write[0]~I .operation_mode = "normal";
43468
defparam \wait_write[0]~I .output_mode = "reg_only";
43469
defparam \wait_write[0]~I .packed_mode = "false";
43470
// synopsys translate_on
43471
 
43472
// atom is at LC5_D1
43473
flex10ke_lcell \gluclock_addr[0]~I (
43474
// Equation(s):
43475
// gluclock_addr_0 = DFFEA(\d[0]~7 , GLOBAL(\clkz_in~dataout ), , , \gluclock_addr[0]~56 , , )
43476
 
43477
	.dataa(\gluclock_addr[0]~56 ),
43478
	.datab(vcc),
43479
	.datac(vcc),
43480
	.datad(d_0),
43481
	.aclr(gnd),
43482
	.aload(gnd),
43483
	.clk(zclk),
43484
	.cin(gnd),
43485
	.cascin(vcc),
43486
	.devclrn(devclrn),
43487
	.devpor(devpor),
43488
	.combout(),
43489
	.regout(gluclock_addr_0),
43490
	.cout(),
43491
	.cascout());
43492
// synopsys translate_off
43493
defparam \gluclock_addr[0]~I .clock_enable_mode = "true";
43494
defparam \gluclock_addr[0]~I .lut_mask = "ff00";
43495
defparam \gluclock_addr[0]~I .operation_mode = "normal";
43496
defparam \gluclock_addr[0]~I .output_mode = "reg_only";
43497
defparam \gluclock_addr[0]~I .packed_mode = "false";
43498
// synopsys translate_on
43499
 
43500
// atom is at LC7_D13
43501
flex10ke_lcell \comport_addr[0]~I (
43502
// Equation(s):
43503
// comport_addr_0 = DFFEA(\a~dataout [8], GLOBAL(\clkz_in~dataout ), , , wait_start_comport, , )
43504
 
43505
	.dataa(wait_start_comport),
43506
	.datab(vcc),
43507
	.datac(vcc),
43508
	.datad(a_8),
43509
	.aclr(gnd),
43510
	.aload(gnd),
43511
	.clk(zclk),
43512
	.cin(gnd),
43513
	.cascin(vcc),
43514
	.devclrn(devclrn),
43515
	.devpor(devpor),
43516
	.combout(),
43517
	.regout(comport_addr_0),
43518
	.cout(),
43519
	.cascout());
43520
// synopsys translate_off
43521
defparam \comport_addr[0]~I .clock_enable_mode = "true";
43522
defparam \comport_addr[0]~I .lut_mask = "ff00";
43523
defparam \comport_addr[0]~I .operation_mode = "normal";
43524
defparam \comport_addr[0]~I .output_mode = "reg_only";
43525
defparam \comport_addr[0]~I .packed_mode = "false";
43526
// synopsys translate_on
43527
 
43528
// atom is at LC5_D13
43529
flex10ke_lcell \wait_start_comport~22_I (
43530
// Equation(s):
43531
// wait_start_comport = \Equal16~50  & (port_rd # port_wr)
43532
 
43533
	.dataa(vcc),
43534
	.datab(port_rd),
43535
	.datac(port_wr),
43536
	.datad(\Equal16~50 ),
43537
	.aclr(gnd),
43538
	.aload(gnd),
43539
	.clk(gnd),
43540
	.cin(gnd),
43541
	.cascin(vcc),
43542
	.devclrn(devclrn),
43543
	.devpor(devpor),
43544
	.combout(wait_start_comport),
43545
	.regout(),
43546
	.cout(),
43547
	.cascout());
43548
// synopsys translate_off
43549
defparam \wait_start_comport~22_I .clock_enable_mode = "false";
43550
defparam \wait_start_comport~22_I .lut_mask = "fc00";
43551
defparam \wait_start_comport~22_I .operation_mode = "normal";
43552
defparam \wait_start_comport~22_I .output_mode = "comb_only";
43553
defparam \wait_start_comport~22_I .packed_mode = "false";
43554
// synopsys translate_on
43555
 
43556
// atom is at LC6_D13
43557
flex10ke_lcell \wait_start_gluclock~58_I (
43558
// Equation(s):
43559
// wait_start_gluclock = !\a~dataout [14] & \wait_start_gluclock~57  & (port_rd # port_wr)
43560
 
43561
	.dataa(a_14),
43562
	.datab(port_rd),
43563
	.datac(port_wr),
43564
	.datad(\wait_start_gluclock~57 ),
43565
	.aclr(gnd),
43566
	.aload(gnd),
43567
	.clk(gnd),
43568
	.cin(gnd),
43569
	.cascin(vcc),
43570
	.devclrn(devclrn),
43571
	.devpor(devpor),
43572
	.combout(wait_start_gluclock),
43573
	.regout(),
43574
	.cout(),
43575
	.cascout());
43576
// synopsys translate_off
43577
defparam \wait_start_gluclock~58_I .clock_enable_mode = "false";
43578
defparam \wait_start_gluclock~58_I .lut_mask = "5400";
43579
defparam \wait_start_gluclock~58_I .operation_mode = "normal";
43580
defparam \wait_start_gluclock~58_I .output_mode = "comb_only";
43581
defparam \wait_start_gluclock~58_I .packed_mode = "false";
43582
// synopsys translate_on
43583
 
43584
// atom is at LC2_D8
43585
flex10ke_lcell \atmF7_wr_fclk~I (
43586
// Equation(s):
43587
// atmF7_wr_fclk = port_wr_fclk & shadow & \a~dataout [8] & \Equal13~23 
43588
 
43589
	.dataa(port_wr_fclk),
43590
	.datab(shadow),
43591
	.datac(a_8),
43592
	.datad(\Equal13~23 ),
43593
	.aclr(gnd),
43594
	.aload(gnd),
43595
	.clk(gnd),
43596
	.cin(gnd),
43597
	.cascin(vcc),
43598
	.devclrn(devclrn),
43599
	.devpor(devpor),
43600
	.combout(atmF7_wr_fclk),
43601
	.regout(),
43602
	.cout(),
43603
	.cascout());
43604
// synopsys translate_off
43605
defparam \atmF7_wr_fclk~I .clock_enable_mode = "false";
43606
defparam \atmF7_wr_fclk~I .lut_mask = "8000";
43607
defparam \atmF7_wr_fclk~I .operation_mode = "normal";
43608
defparam \atmF7_wr_fclk~I .output_mode = "comb_only";
43609
defparam \atmF7_wr_fclk~I .packed_mode = "false";
43610
// synopsys translate_on
43611
 
43612
// atom is at LC8_B7
43613
flex10ke_lcell \pre_bc1~13_I (
43614
// Equation(s):
43615
// pre_bc1 = \a~dataout [15] & \a~dataout [14]
43616
 
43617
	.dataa(vcc),
43618
	.datab(vcc),
43619
	.datac(a_15),
43620
	.datad(a_14),
43621
	.aclr(gnd),
43622
	.aload(gnd),
43623
	.clk(gnd),
43624
	.cin(gnd),
43625
	.cascin(vcc),
43626
	.devclrn(devclrn),
43627
	.devpor(devpor),
43628
	.combout(pre_bc1),
43629
	.regout(),
43630
	.cout(),
43631
	.cascout());
43632
// synopsys translate_off
43633
defparam \pre_bc1~13_I .clock_enable_mode = "false";
43634
defparam \pre_bc1~13_I .lut_mask = "f000";
43635
defparam \pre_bc1~13_I .operation_mode = "normal";
43636
defparam \pre_bc1~13_I .output_mode = "comb_only";
43637
defparam \pre_bc1~13_I .packed_mode = "false";
43638
// synopsys translate_on
43639
 
43640
// atom is at LC2_F15
43641
flex10ke_lcell \p7ffd_int[3]~I (
43642
// Equation(s):
43643
// p7ffd_int_3 = DFFEA(\d[3]~4 , GLOBAL(\clkz_in~dataout ), rst_out_n, , \always18~43 , , )
43644
 
43645
	.dataa(\always18~43 ),
43646
	.datab(vcc),
43647
	.datac(vcc),
43648
	.datad(d_3),
43649
	.aclr(!rst_n),
43650
	.aload(gnd),
43651
	.clk(zclk),
43652
	.cin(gnd),
43653
	.cascin(vcc),
43654
	.devclrn(devclrn),
43655
	.devpor(devpor),
43656
	.combout(),
43657
	.regout(p7ffd_int_3),
43658
	.cout(),
43659
	.cascout());
43660
// synopsys translate_off
43661
defparam \p7ffd_int[3]~I .clock_enable_mode = "true";
43662
defparam \p7ffd_int[3]~I .lut_mask = "ff00";
43663
defparam \p7ffd_int[3]~I .operation_mode = "normal";
43664
defparam \p7ffd_int[3]~I .output_mode = "reg_only";
43665
defparam \p7ffd_int[3]~I .packed_mode = "false";
43666
// synopsys translate_on
43667
 
43668
// atom is at LC3_D6
43669
flex10ke_lcell \wait_write[1]~I (
43670
// Equation(s):
43671
// wait_write_1 = DFFEA(\d[1]~6 , GLOBAL(\clkz_in~dataout ), , , \wait_write~358 , , )
43672
 
43673
	.dataa(\wait_write~358 ),
43674
	.datab(vcc),
43675
	.datac(vcc),
43676
	.datad(d_1),
43677
	.aclr(gnd),
43678
	.aload(gnd),
43679
	.clk(zclk),
43680
	.cin(gnd),
43681
	.cascin(vcc),
43682
	.devclrn(devclrn),
43683
	.devpor(devpor),
43684
	.combout(),
43685
	.regout(wait_write_1),
43686
	.cout(),
43687
	.cascout());
43688
// synopsys translate_off
43689
defparam \wait_write[1]~I .clock_enable_mode = "true";
43690
defparam \wait_write[1]~I .lut_mask = "ff00";
43691
defparam \wait_write[1]~I .operation_mode = "normal";
43692
defparam \wait_write[1]~I .output_mode = "reg_only";
43693
defparam \wait_write[1]~I .packed_mode = "false";
43694
// synopsys translate_on
43695
 
43696
// atom is at LC4_D6
43697
flex10ke_lcell \gluclock_addr[1]~I (
43698
// Equation(s):
43699
// gluclock_addr_1 = DFFEA(\d[1]~6 , GLOBAL(\clkz_in~dataout ), , , \gluclock_addr[0]~56 , , )
43700
 
43701
	.dataa(\gluclock_addr[0]~56 ),
43702
	.datab(vcc),
43703
	.datac(vcc),
43704
	.datad(d_1),
43705
	.aclr(gnd),
43706
	.aload(gnd),
43707
	.clk(zclk),
43708
	.cin(gnd),
43709
	.cascin(vcc),
43710
	.devclrn(devclrn),
43711
	.devpor(devpor),
43712
	.combout(),
43713
	.regout(gluclock_addr_1),
43714
	.cout(),
43715
	.cascout());
43716
// synopsys translate_off
43717
defparam \gluclock_addr[1]~I .clock_enable_mode = "true";
43718
defparam \gluclock_addr[1]~I .lut_mask = "ff00";
43719
defparam \gluclock_addr[1]~I .operation_mode = "normal";
43720
defparam \gluclock_addr[1]~I .output_mode = "reg_only";
43721
defparam \gluclock_addr[1]~I .packed_mode = "false";
43722
// synopsys translate_on
43723
 
43724
// atom is at LC6_D6
43725
flex10ke_lcell \comport_addr[1]~I (
43726
// Equation(s):
43727
// comport_addr_1 = DFFEA(\a~dataout [9], GLOBAL(\clkz_in~dataout ), , , wait_start_comport, , )
43728
 
43729
	.dataa(wait_start_comport),
43730
	.datab(vcc),
43731
	.datac(vcc),
43732
	.datad(a_9),
43733
	.aclr(gnd),
43734
	.aload(gnd),
43735
	.clk(zclk),
43736
	.cin(gnd),
43737
	.cascin(vcc),
43738
	.devclrn(devclrn),
43739
	.devpor(devpor),
43740
	.combout(),
43741
	.regout(comport_addr_1),
43742
	.cout(),
43743
	.cascout());
43744
// synopsys translate_off
43745
defparam \comport_addr[1]~I .clock_enable_mode = "true";
43746
defparam \comport_addr[1]~I .lut_mask = "ff00";
43747
defparam \comport_addr[1]~I .operation_mode = "normal";
43748
defparam \comport_addr[1]~I .output_mode = "reg_only";
43749
defparam \comport_addr[1]~I .packed_mode = "false";
43750
// synopsys translate_on
43751
 
43752
// atom is at LC4_H14
43753
flex10ke_lcell \Selector7~1858_I (
43754
// Equation(s):
43755
// Selector7 = !\a~dataout [5] & (\ide_d[0]~15  # !\Selector7~1856 ) # !\Selector7~1897 
43756
 
43757
	.dataa(\Selector7~1897 ),
43758
	.datab(a_5),
43759
	.datac(\Selector7~1856 ),
43760
	.datad(ide_d_0),
43761
	.aclr(gnd),
43762
	.aload(gnd),
43763
	.clk(gnd),
43764
	.cin(gnd),
43765
	.cascin(vcc),
43766
	.devclrn(devclrn),
43767
	.devpor(devpor),
43768
	.combout(Selector7),
43769
	.regout(),
43770
	.cout(),
43771
	.cascout());
43772
// synopsys translate_off
43773
defparam \Selector7~1858_I .clock_enable_mode = "false";
43774
defparam \Selector7~1858_I .lut_mask = "7757";
43775
defparam \Selector7~1858_I .operation_mode = "normal";
43776
defparam \Selector7~1858_I .output_mode = "comb_only";
43777
defparam \Selector7~1858_I .packed_mode = "false";
43778
// synopsys translate_on
43779
 
43780
// atom is at LC8_E26
43781
flex10ke_lcell \Selector2~1452_I (
43782
// Equation(s):
43783
// Selector2 = \Selector2~1449  # \Selector2~1451  & \Equal9~59  # !\Equal0~46 
43784
 
43785
	.dataa(\Equal0~46 ),
43786
	.datab(\Selector2~1451 ),
43787
	.datac(\Equal9~59 ),
43788
	.datad(\Selector2~1449 ),
43789
	.aclr(gnd),
43790
	.aload(gnd),
43791
	.clk(gnd),
43792
	.cin(gnd),
43793
	.cascin(vcc),
43794
	.devclrn(devclrn),
43795
	.devpor(devpor),
43796
	.combout(Selector2),
43797
	.regout(),
43798
	.cout(),
43799
	.cascout());
43800
// synopsys translate_off
43801
defparam \Selector2~1452_I .clock_enable_mode = "false";
43802
defparam \Selector2~1452_I .lut_mask = "ffd5";
43803
defparam \Selector2~1452_I .operation_mode = "normal";
43804
defparam \Selector2~1452_I .output_mode = "comb_only";
43805
defparam \Selector2~1452_I .packed_mode = "false";
43806
// synopsys translate_on
43807
 
43808
// atom is at LC4_E33
43809
flex10ke_lcell \Selector1~1384_I (
43810
// Equation(s):
43811
// Selector1 = \Selector1~1381  # \Selector1~1379  # \Selector1~1383  & \Equal9~59 
43812
 
43813
	.dataa(\Selector1~1383 ),
43814
	.datab(\Equal9~59 ),
43815
	.datac(\Selector1~1381 ),
43816
	.datad(\Selector1~1379 ),
43817
	.aclr(gnd),
43818
	.aload(gnd),
43819
	.clk(gnd),
43820
	.cin(gnd),
43821
	.cascin(vcc),
43822
	.devclrn(devclrn),
43823
	.devpor(devpor),
43824
	.combout(Selector1),
43825
	.regout(),
43826
	.cout(),
43827
	.cascout());
43828
// synopsys translate_off
43829
defparam \Selector1~1384_I .clock_enable_mode = "false";
43830
defparam \Selector1~1384_I .lut_mask = "fff8";
43831
defparam \Selector1~1384_I .operation_mode = "normal";
43832
defparam \Selector1~1384_I .output_mode = "comb_only";
43833
defparam \Selector1~1384_I .packed_mode = "false";
43834
// synopsys translate_on
43835
 
43836
// atom is at LC3_H24
43837
flex10ke_lcell \Selector0~1454_I (
43838
// Equation(s):
43839
// Selector0 = \Selector0~1451  # \a~dataout [6] & (\Selector0~1453  # !\a~dataout [7])
43840
 
43841
	.dataa(a_7),
43842
	.datab(\Selector0~1453 ),
43843
	.datac(a_6),
43844
	.datad(\Selector0~1451 ),
43845
	.aclr(gnd),
43846
	.aload(gnd),
43847
	.clk(gnd),
43848
	.cin(gnd),
43849
	.cascin(vcc),
43850
	.devclrn(devclrn),
43851
	.devpor(devpor),
43852
	.combout(Selector0),
43853
	.regout(),
43854
	.cout(),
43855
	.cascout());
43856
// synopsys translate_off
43857
defparam \Selector0~1454_I .clock_enable_mode = "false";
43858
defparam \Selector0~1454_I .lut_mask = "ffd0";
43859
defparam \Selector0~1454_I .operation_mode = "normal";
43860
defparam \Selector0~1454_I .output_mode = "comb_only";
43861
defparam \Selector0~1454_I .packed_mode = "false";
43862
// synopsys translate_on
43863
 
43864
// atom is at LC7_B8
43865
flex10ke_lcell \ideout[0]~64_I (
43866
// Equation(s):
43867
// ideout_0 = ide_wrlo_latch & (idewrreg[0]) # !ide_wrlo_latch & \d[0]~7 
43868
 
43869
	.dataa(vcc),
43870
	.datab(ide_wrlo_latch),
43871
	.datac(d_0),
43872
	.datad(idewrreg[0]),
43873
	.aclr(gnd),
43874
	.aload(gnd),
43875
	.clk(gnd),
43876
	.cin(gnd),
43877
	.cascin(vcc),
43878
	.devclrn(devclrn),
43879
	.devpor(devpor),
43880
	.combout(ideout_0),
43881
	.regout(),
43882
	.cout(),
43883
	.cascout());
43884
// synopsys translate_off
43885
defparam \ideout[0]~64_I .clock_enable_mode = "false";
43886
defparam \ideout[0]~64_I .lut_mask = "fc30";
43887
defparam \ideout[0]~64_I .operation_mode = "normal";
43888
defparam \ideout[0]~64_I .output_mode = "comb_only";
43889
defparam \ideout[0]~64_I .packed_mode = "false";
43890
// synopsys translate_on
43891
 
43892
// atom is at LC5_B9
43893
flex10ke_lcell \ideout[1]~65_I (
43894
// Equation(s):
43895
// ideout_1 = ide_wrlo_latch & (idewrreg[1]) # !ide_wrlo_latch & \d[1]~6 
43896
 
43897
	.dataa(vcc),
43898
	.datab(ide_wrlo_latch),
43899
	.datac(d_1),
43900
	.datad(idewrreg[1]),
43901
	.aclr(gnd),
43902
	.aload(gnd),
43903
	.clk(gnd),
43904
	.cin(gnd),
43905
	.cascin(vcc),
43906
	.devclrn(devclrn),
43907
	.devpor(devpor),
43908
	.combout(ideout_1),
43909
	.regout(),
43910
	.cout(),
43911
	.cascout());
43912
// synopsys translate_off
43913
defparam \ideout[1]~65_I .clock_enable_mode = "false";
43914
defparam \ideout[1]~65_I .lut_mask = "fc30";
43915
defparam \ideout[1]~65_I .operation_mode = "normal";
43916
defparam \ideout[1]~65_I .output_mode = "comb_only";
43917
defparam \ideout[1]~65_I .packed_mode = "false";
43918
// synopsys translate_on
43919
 
43920
// atom is at LC8_B12
43921
flex10ke_lcell \ideout[2]~66_I (
43922
// Equation(s):
43923
// ideout_2 = ide_wrlo_latch & (idewrreg[2]) # !ide_wrlo_latch & \d[2]~5 
43924
 
43925
	.dataa(vcc),
43926
	.datab(ide_wrlo_latch),
43927
	.datac(d_2),
43928
	.datad(idewrreg[2]),
43929
	.aclr(gnd),
43930
	.aload(gnd),
43931
	.clk(gnd),
43932
	.cin(gnd),
43933
	.cascin(vcc),
43934
	.devclrn(devclrn),
43935
	.devpor(devpor),
43936
	.combout(ideout_2),
43937
	.regout(),
43938
	.cout(),
43939
	.cascout());
43940
// synopsys translate_off
43941
defparam \ideout[2]~66_I .clock_enable_mode = "false";
43942
defparam \ideout[2]~66_I .lut_mask = "fc30";
43943
defparam \ideout[2]~66_I .operation_mode = "normal";
43944
defparam \ideout[2]~66_I .output_mode = "comb_only";
43945
defparam \ideout[2]~66_I .packed_mode = "false";
43946
// synopsys translate_on
43947
 
43948
// atom is at LC5_B14
43949
flex10ke_lcell \ideout[3]~67_I (
43950
// Equation(s):
43951
// ideout_3 = ide_wrlo_latch & (idewrreg[3]) # !ide_wrlo_latch & \d[3]~4 
43952
 
43953
	.dataa(vcc),
43954
	.datab(ide_wrlo_latch),
43955
	.datac(d_3),
43956
	.datad(idewrreg[3]),
43957
	.aclr(gnd),
43958
	.aload(gnd),
43959
	.clk(gnd),
43960
	.cin(gnd),
43961
	.cascin(vcc),
43962
	.devclrn(devclrn),
43963
	.devpor(devpor),
43964
	.combout(ideout_3),
43965
	.regout(),
43966
	.cout(),
43967
	.cascout());
43968
// synopsys translate_off
43969
defparam \ideout[3]~67_I .clock_enable_mode = "false";
43970
defparam \ideout[3]~67_I .lut_mask = "fc30";
43971
defparam \ideout[3]~67_I .operation_mode = "normal";
43972
defparam \ideout[3]~67_I .output_mode = "comb_only";
43973
defparam \ideout[3]~67_I .packed_mode = "false";
43974
// synopsys translate_on
43975
 
43976
// atom is at LC2_B14
43977
flex10ke_lcell \ideout[4]~68_I (
43978
// Equation(s):
43979
// ideout_4 = ide_wrlo_latch & (idewrreg[4]) # !ide_wrlo_latch & \d[4]~3 
43980
 
43981
	.dataa(vcc),
43982
	.datab(ide_wrlo_latch),
43983
	.datac(d_4),
43984
	.datad(idewrreg[4]),
43985
	.aclr(gnd),
43986
	.aload(gnd),
43987
	.clk(gnd),
43988
	.cin(gnd),
43989
	.cascin(vcc),
43990
	.devclrn(devclrn),
43991
	.devpor(devpor),
43992
	.combout(ideout_4),
43993
	.regout(),
43994
	.cout(),
43995
	.cascout());
43996
// synopsys translate_off
43997
defparam \ideout[4]~68_I .clock_enable_mode = "false";
43998
defparam \ideout[4]~68_I .lut_mask = "fc30";
43999
defparam \ideout[4]~68_I .operation_mode = "normal";
44000
defparam \ideout[4]~68_I .output_mode = "comb_only";
44001
defparam \ideout[4]~68_I .packed_mode = "false";
44002
// synopsys translate_on
44003
 
44004
// atom is at LC2_B16
44005
flex10ke_lcell \ideout[5]~69_I (
44006
// Equation(s):
44007
// ideout_5 = ide_wrlo_latch & (idewrreg[5]) # !ide_wrlo_latch & \d[5]~2 
44008
 
44009
	.dataa(vcc),
44010
	.datab(ide_wrlo_latch),
44011
	.datac(d_5),
44012
	.datad(idewrreg[5]),
44013
	.aclr(gnd),
44014
	.aload(gnd),
44015
	.clk(gnd),
44016
	.cin(gnd),
44017
	.cascin(vcc),
44018
	.devclrn(devclrn),
44019
	.devpor(devpor),
44020
	.combout(ideout_5),
44021
	.regout(),
44022
	.cout(),
44023
	.cascout());
44024
// synopsys translate_off
44025
defparam \ideout[5]~69_I .clock_enable_mode = "false";
44026
defparam \ideout[5]~69_I .lut_mask = "fc30";
44027
defparam \ideout[5]~69_I .operation_mode = "normal";
44028
defparam \ideout[5]~69_I .output_mode = "comb_only";
44029
defparam \ideout[5]~69_I .packed_mode = "false";
44030
// synopsys translate_on
44031
 
44032
// atom is at LC8_D20
44033
flex10ke_lcell \ideout[6]~70_I (
44034
// Equation(s):
44035
// ideout_6 = ide_wrlo_latch & (idewrreg[6]) # !ide_wrlo_latch & \d[6]~1 
44036
 
44037
	.dataa(vcc),
44038
	.datab(ide_wrlo_latch),
44039
	.datac(d_6),
44040
	.datad(idewrreg[6]),
44041
	.aclr(gnd),
44042
	.aload(gnd),
44043
	.clk(gnd),
44044
	.cin(gnd),
44045
	.cascin(vcc),
44046
	.devclrn(devclrn),
44047
	.devpor(devpor),
44048
	.combout(ideout_6),
44049
	.regout(),
44050
	.cout(),
44051
	.cascout());
44052
// synopsys translate_off
44053
defparam \ideout[6]~70_I .clock_enable_mode = "false";
44054
defparam \ideout[6]~70_I .lut_mask = "fc30";
44055
defparam \ideout[6]~70_I .operation_mode = "normal";
44056
defparam \ideout[6]~70_I .output_mode = "comb_only";
44057
defparam \ideout[6]~70_I .packed_mode = "false";
44058
// synopsys translate_on
44059
 
44060
// atom is at LC1_D20
44061
flex10ke_lcell \ideout[7]~71_I (
44062
// Equation(s):
44063
// ideout_7 = ide_wrlo_latch & (idewrreg[7]) # !ide_wrlo_latch & \d[7]~0 
44064
 
44065
	.dataa(vcc),
44066
	.datab(ide_wrlo_latch),
44067
	.datac(d_7),
44068
	.datad(idewrreg[7]),
44069
	.aclr(gnd),
44070
	.aload(gnd),
44071
	.clk(gnd),
44072
	.cin(gnd),
44073
	.cascin(vcc),
44074
	.devclrn(devclrn),
44075
	.devpor(devpor),
44076
	.combout(ideout_7),
44077
	.regout(),
44078
	.cout(),
44079
	.cascout());
44080
// synopsys translate_off
44081
defparam \ideout[7]~71_I .clock_enable_mode = "false";
44082
defparam \ideout[7]~71_I .lut_mask = "fc30";
44083
defparam \ideout[7]~71_I .operation_mode = "normal";
44084
defparam \ideout[7]~71_I .output_mode = "comb_only";
44085
defparam \ideout[7]~71_I .packed_mode = "false";
44086
// synopsys translate_on
44087
 
44088
// atom is at LC4_E22
44089
flex10ke_lcell \ideout[8]~72_I (
44090
// Equation(s):
44091
// ideout_8 = ide_wrhi_latch & (idewrreg[8]) # !ide_wrhi_latch & \d[0]~7 
44092
 
44093
	.dataa(vcc),
44094
	.datab(ide_wrhi_latch),
44095
	.datac(d_0),
44096
	.datad(idewrreg[8]),
44097
	.aclr(gnd),
44098
	.aload(gnd),
44099
	.clk(gnd),
44100
	.cin(gnd),
44101
	.cascin(vcc),
44102
	.devclrn(devclrn),
44103
	.devpor(devpor),
44104
	.combout(ideout_8),
44105
	.regout(),
44106
	.cout(),
44107
	.cascout());
44108
// synopsys translate_off
44109
defparam \ideout[8]~72_I .clock_enable_mode = "false";
44110
defparam \ideout[8]~72_I .lut_mask = "fc30";
44111
defparam \ideout[8]~72_I .operation_mode = "normal";
44112
defparam \ideout[8]~72_I .output_mode = "comb_only";
44113
defparam \ideout[8]~72_I .packed_mode = "false";
44114
// synopsys translate_on
44115
 
44116
// atom is at LC2_E20
44117
flex10ke_lcell \ideout[9]~73_I (
44118
// Equation(s):
44119
// ideout_9 = ide_wrhi_latch & (idewrreg[9]) # !ide_wrhi_latch & \d[1]~6 
44120
 
44121
	.dataa(vcc),
44122
	.datab(ide_wrhi_latch),
44123
	.datac(d_1),
44124
	.datad(idewrreg[9]),
44125
	.aclr(gnd),
44126
	.aload(gnd),
44127
	.clk(gnd),
44128
	.cin(gnd),
44129
	.cascin(vcc),
44130
	.devclrn(devclrn),
44131
	.devpor(devpor),
44132
	.combout(ideout_9),
44133
	.regout(),
44134
	.cout(),
44135
	.cascout());
44136
// synopsys translate_off
44137
defparam \ideout[9]~73_I .clock_enable_mode = "false";
44138
defparam \ideout[9]~73_I .lut_mask = "fc30";
44139
defparam \ideout[9]~73_I .operation_mode = "normal";
44140
defparam \ideout[9]~73_I .output_mode = "comb_only";
44141
defparam \ideout[9]~73_I .packed_mode = "false";
44142
// synopsys translate_on
44143
 
44144
// atom is at LC1_B17
44145
flex10ke_lcell \ideout[10]~74_I (
44146
// Equation(s):
44147
// ideout_10 = ide_wrhi_latch & (idewrreg[10]) # !ide_wrhi_latch & \d[2]~5 
44148
 
44149
	.dataa(vcc),
44150
	.datab(ide_wrhi_latch),
44151
	.datac(d_2),
44152
	.datad(idewrreg[10]),
44153
	.aclr(gnd),
44154
	.aload(gnd),
44155
	.clk(gnd),
44156
	.cin(gnd),
44157
	.cascin(vcc),
44158
	.devclrn(devclrn),
44159
	.devpor(devpor),
44160
	.combout(ideout_10),
44161
	.regout(),
44162
	.cout(),
44163
	.cascout());
44164
// synopsys translate_off
44165
defparam \ideout[10]~74_I .clock_enable_mode = "false";
44166
defparam \ideout[10]~74_I .lut_mask = "fc30";
44167
defparam \ideout[10]~74_I .operation_mode = "normal";
44168
defparam \ideout[10]~74_I .output_mode = "comb_only";
44169
defparam \ideout[10]~74_I .packed_mode = "false";
44170
// synopsys translate_on
44171
 
44172
// atom is at LC4_C15
44173
flex10ke_lcell \ideout[11]~75_I (
44174
// Equation(s):
44175
// ideout_11 = ide_wrhi_latch & (idewrreg[11]) # !ide_wrhi_latch & \d[3]~4 
44176
 
44177
	.dataa(vcc),
44178
	.datab(ide_wrhi_latch),
44179
	.datac(d_3),
44180
	.datad(idewrreg[11]),
44181
	.aclr(gnd),
44182
	.aload(gnd),
44183
	.clk(gnd),
44184
	.cin(gnd),
44185
	.cascin(vcc),
44186
	.devclrn(devclrn),
44187
	.devpor(devpor),
44188
	.combout(ideout_11),
44189
	.regout(),
44190
	.cout(),
44191
	.cascout());
44192
// synopsys translate_off
44193
defparam \ideout[11]~75_I .clock_enable_mode = "false";
44194
defparam \ideout[11]~75_I .lut_mask = "fc30";
44195
defparam \ideout[11]~75_I .operation_mode = "normal";
44196
defparam \ideout[11]~75_I .output_mode = "comb_only";
44197
defparam \ideout[11]~75_I .packed_mode = "false";
44198
// synopsys translate_on
44199
 
44200
// atom is at LC1_B14
44201
flex10ke_lcell \ideout[12]~76_I (
44202
// Equation(s):
44203
// ideout_12 = ide_wrhi_latch & (idewrreg[12]) # !ide_wrhi_latch & \d[4]~3 
44204
 
44205
	.dataa(vcc),
44206
	.datab(ide_wrhi_latch),
44207
	.datac(d_4),
44208
	.datad(idewrreg[12]),
44209
	.aclr(gnd),
44210
	.aload(gnd),
44211
	.clk(gnd),
44212
	.cin(gnd),
44213
	.cascin(vcc),
44214
	.devclrn(devclrn),
44215
	.devpor(devpor),
44216
	.combout(ideout_12),
44217
	.regout(),
44218
	.cout(),
44219
	.cascout());
44220
// synopsys translate_off
44221
defparam \ideout[12]~76_I .clock_enable_mode = "false";
44222
defparam \ideout[12]~76_I .lut_mask = "fc30";
44223
defparam \ideout[12]~76_I .operation_mode = "normal";
44224
defparam \ideout[12]~76_I .output_mode = "comb_only";
44225
defparam \ideout[12]~76_I .packed_mode = "false";
44226
// synopsys translate_on
44227
 
44228
// atom is at LC8_C11
44229
flex10ke_lcell \ideout[13]~77_I (
44230
// Equation(s):
44231
// ideout_13 = ide_wrhi_latch & (idewrreg[13]) # !ide_wrhi_latch & \d[5]~2 
44232
 
44233
	.dataa(vcc),
44234
	.datab(ide_wrhi_latch),
44235
	.datac(d_5),
44236
	.datad(idewrreg[13]),
44237
	.aclr(gnd),
44238
	.aload(gnd),
44239
	.clk(gnd),
44240
	.cin(gnd),
44241
	.cascin(vcc),
44242
	.devclrn(devclrn),
44243
	.devpor(devpor),
44244
	.combout(ideout_13),
44245
	.regout(),
44246
	.cout(),
44247
	.cascout());
44248
// synopsys translate_off
44249
defparam \ideout[13]~77_I .clock_enable_mode = "false";
44250
defparam \ideout[13]~77_I .lut_mask = "fc30";
44251
defparam \ideout[13]~77_I .operation_mode = "normal";
44252
defparam \ideout[13]~77_I .output_mode = "comb_only";
44253
defparam \ideout[13]~77_I .packed_mode = "false";
44254
// synopsys translate_on
44255
 
44256
// atom is at LC4_B10
44257
flex10ke_lcell \ideout[14]~78_I (
44258
// Equation(s):
44259
// ideout_14 = ide_wrhi_latch & (idewrreg[14]) # !ide_wrhi_latch & \d[6]~1 
44260
 
44261
	.dataa(vcc),
44262
	.datab(ide_wrhi_latch),
44263
	.datac(d_6),
44264
	.datad(idewrreg[14]),
44265
	.aclr(gnd),
44266
	.aload(gnd),
44267
	.clk(gnd),
44268
	.cin(gnd),
44269
	.cascin(vcc),
44270
	.devclrn(devclrn),
44271
	.devpor(devpor),
44272
	.combout(ideout_14),
44273
	.regout(),
44274
	.cout(),
44275
	.cascout());
44276
// synopsys translate_off
44277
defparam \ideout[14]~78_I .clock_enable_mode = "false";
44278
defparam \ideout[14]~78_I .lut_mask = "fc30";
44279
defparam \ideout[14]~78_I .operation_mode = "normal";
44280
defparam \ideout[14]~78_I .output_mode = "comb_only";
44281
defparam \ideout[14]~78_I .packed_mode = "false";
44282
// synopsys translate_on
44283
 
44284
// atom is at LC6_B10
44285
flex10ke_lcell \ideout[15]~79_I (
44286
// Equation(s):
44287
// ideout_15 = ide_wrhi_latch & (idewrreg[15]) # !ide_wrhi_latch & \d[7]~0 
44288
 
44289
	.dataa(vcc),
44290
	.datab(ide_wrhi_latch),
44291
	.datac(d_7),
44292
	.datad(idewrreg[15]),
44293
	.aclr(gnd),
44294
	.aload(gnd),
44295
	.clk(gnd),
44296
	.cin(gnd),
44297
	.cascin(vcc),
44298
	.devclrn(devclrn),
44299
	.devpor(devpor),
44300
	.combout(ideout_15),
44301
	.regout(),
44302
	.cout(),
44303
	.cascout());
44304
// synopsys translate_off
44305
defparam \ideout[15]~79_I .clock_enable_mode = "false";
44306
defparam \ideout[15]~79_I .lut_mask = "fc30";
44307
defparam \ideout[15]~79_I .operation_mode = "normal";
44308
defparam \ideout[15]~79_I .output_mode = "comb_only";
44309
defparam \ideout[15]~79_I .packed_mode = "false";
44310
// synopsys translate_on
44311
 
44312
// atom is at LC4_A1
44313
flex10ke_lcell \peff7_int[4]~I (
44314
// Equation(s):
44315
// peff7_int_4 = DFFEA(\d[4]~3 , GLOBAL(\clkz_in~dataout ), rst_out_n, , \always19~42 , , )
44316
 
44317
	.dataa(\always19~42 ),
44318
	.datab(vcc),
44319
	.datac(vcc),
44320
	.datad(d_4),
44321
	.aclr(!rst_n),
44322
	.aload(gnd),
44323
	.clk(zclk),
44324
	.cin(gnd),
44325
	.cascin(vcc),
44326
	.devclrn(devclrn),
44327
	.devpor(devpor),
44328
	.combout(),
44329
	.regout(peff7_int_4),
44330
	.cout(),
44331
	.cascout());
44332
// synopsys translate_off
44333
defparam \peff7_int[4]~I .clock_enable_mode = "true";
44334
defparam \peff7_int[4]~I .lut_mask = "ff00";
44335
defparam \peff7_int[4]~I .operation_mode = "normal";
44336
defparam \peff7_int[4]~I .output_mode = "reg_only";
44337
defparam \peff7_int[4]~I .packed_mode = "false";
44338
// synopsys translate_on
44339
 
44340
// atom is at LC3_F3
44341
flex10ke_lcell \peff7_int[5]~I (
44342
// Equation(s):
44343
// peff7_int_5 = DFFEA(\d[5]~2 , GLOBAL(\clkz_in~dataout ), rst_out_n, , \always19~42 , , )
44344
 
44345
	.dataa(\always19~42 ),
44346
	.datab(vcc),
44347
	.datac(vcc),
44348
	.datad(d_5),
44349
	.aclr(!rst_n),
44350
	.aload(gnd),
44351
	.clk(zclk),
44352
	.cin(gnd),
44353
	.cascin(vcc),
44354
	.devclrn(devclrn),
44355
	.devpor(devpor),
44356
	.combout(),
44357
	.regout(peff7_int_5),
44358
	.cout(),
44359
	.cascout());
44360
// synopsys translate_off
44361
defparam \peff7_int[5]~I .clock_enable_mode = "true";
44362
defparam \peff7_int[5]~I .lut_mask = "ff00";
44363
defparam \peff7_int[5]~I .operation_mode = "normal";
44364
defparam \peff7_int[5]~I .output_mode = "reg_only";
44365
defparam \peff7_int[5]~I .packed_mode = "false";
44366
// synopsys translate_on
44367
 
44368
// atom is at LC3_D28
44369
flex10ke_lcell \wait_write[2]~I (
44370
// Equation(s):
44371
// wait_write_2 = DFFEA(\d[2]~5 , GLOBAL(\clkz_in~dataout ), , , \wait_write~358 , , )
44372
 
44373
	.dataa(\wait_write~358 ),
44374
	.datab(vcc),
44375
	.datac(vcc),
44376
	.datad(d_2),
44377
	.aclr(gnd),
44378
	.aload(gnd),
44379
	.clk(zclk),
44380
	.cin(gnd),
44381
	.cascin(vcc),
44382
	.devclrn(devclrn),
44383
	.devpor(devpor),
44384
	.combout(),
44385
	.regout(wait_write_2),
44386
	.cout(),
44387
	.cascout());
44388
// synopsys translate_off
44389
defparam \wait_write[2]~I .clock_enable_mode = "true";
44390
defparam \wait_write[2]~I .lut_mask = "ff00";
44391
defparam \wait_write[2]~I .operation_mode = "normal";
44392
defparam \wait_write[2]~I .output_mode = "reg_only";
44393
defparam \wait_write[2]~I .packed_mode = "false";
44394
// synopsys translate_on
44395
 
44396
// atom is at LC1_D25
44397
flex10ke_lcell \gluclock_addr[2]~I (
44398
// Equation(s):
44399
// gluclock_addr_2 = DFFEA(\d[2]~5 , GLOBAL(\clkz_in~dataout ), , , \gluclock_addr[0]~56 , , )
44400
 
44401
	.dataa(\gluclock_addr[0]~56 ),
44402
	.datab(vcc),
44403
	.datac(vcc),
44404
	.datad(d_2),
44405
	.aclr(gnd),
44406
	.aload(gnd),
44407
	.clk(zclk),
44408
	.cin(gnd),
44409
	.cascin(vcc),
44410
	.devclrn(devclrn),
44411
	.devpor(devpor),
44412
	.combout(),
44413
	.regout(gluclock_addr_2),
44414
	.cout(),
44415
	.cascout());
44416
// synopsys translate_off
44417
defparam \gluclock_addr[2]~I .clock_enable_mode = "true";
44418
defparam \gluclock_addr[2]~I .lut_mask = "ff00";
44419
defparam \gluclock_addr[2]~I .operation_mode = "normal";
44420
defparam \gluclock_addr[2]~I .output_mode = "reg_only";
44421
defparam \gluclock_addr[2]~I .packed_mode = "false";
44422
// synopsys translate_on
44423
 
44424
// atom is at LC8_D6
44425
flex10ke_lcell \comport_addr[2]~I (
44426
// Equation(s):
44427
// comport_addr_2 = DFFEA(\a~dataout [10], GLOBAL(\clkz_in~dataout ), , , wait_start_comport, , )
44428
 
44429
	.dataa(wait_start_comport),
44430
	.datab(vcc),
44431
	.datac(vcc),
44432
	.datad(a_10),
44433
	.aclr(gnd),
44434
	.aload(gnd),
44435
	.clk(zclk),
44436
	.cin(gnd),
44437
	.cascin(vcc),
44438
	.devclrn(devclrn),
44439
	.devpor(devpor),
44440
	.combout(),
44441
	.regout(comport_addr_2),
44442
	.cout(),
44443
	.cascout());
44444
// synopsys translate_off
44445
defparam \comport_addr[2]~I .clock_enable_mode = "true";
44446
defparam \comport_addr[2]~I .lut_mask = "ff00";
44447
defparam \comport_addr[2]~I .operation_mode = "normal";
44448
defparam \comport_addr[2]~I .output_mode = "reg_only";
44449
defparam \comport_addr[2]~I .packed_mode = "false";
44450
// synopsys translate_on
44451
 
44452
// atom is at LC5_F3
44453
flex10ke_lcell \p7ffd_int[7]~I (
44454
// Equation(s):
44455
// p7ffd_int_7 = DFFEA(\d[7]~0 , GLOBAL(\clkz_in~dataout ), rst_out_n, , \always18~43 , , )
44456
 
44457
	.dataa(\always18~43 ),
44458
	.datab(vcc),
44459
	.datac(vcc),
44460
	.datad(d_7),
44461
	.aclr(!rst_n),
44462
	.aload(gnd),
44463
	.clk(zclk),
44464
	.cin(gnd),
44465
	.cascin(vcc),
44466
	.devclrn(devclrn),
44467
	.devpor(devpor),
44468
	.combout(),
44469
	.regout(p7ffd_int_7),
44470
	.cout(),
44471
	.cascout());
44472
// synopsys translate_off
44473
defparam \p7ffd_int[7]~I .clock_enable_mode = "true";
44474
defparam \p7ffd_int[7]~I .lut_mask = "ff00";
44475
defparam \p7ffd_int[7]~I .operation_mode = "normal";
44476
defparam \p7ffd_int[7]~I .output_mode = "reg_only";
44477
defparam \p7ffd_int[7]~I .packed_mode = "false";
44478
// synopsys translate_on
44479
 
44480
// atom is at LC6_D28
44481
flex10ke_lcell \wait_write[3]~I (
44482
// Equation(s):
44483
// wait_write_3 = DFFEA(\d[3]~4 , GLOBAL(\clkz_in~dataout ), , , \wait_write~358 , , )
44484
 
44485
	.dataa(\wait_write~358 ),
44486
	.datab(vcc),
44487
	.datac(vcc),
44488
	.datad(d_3),
44489
	.aclr(gnd),
44490
	.aload(gnd),
44491
	.clk(zclk),
44492
	.cin(gnd),
44493
	.cascin(vcc),
44494
	.devclrn(devclrn),
44495
	.devpor(devpor),
44496
	.combout(),
44497
	.regout(wait_write_3),
44498
	.cout(),
44499
	.cascout());
44500
// synopsys translate_off
44501
defparam \wait_write[3]~I .clock_enable_mode = "true";
44502
defparam \wait_write[3]~I .lut_mask = "ff00";
44503
defparam \wait_write[3]~I .operation_mode = "normal";
44504
defparam \wait_write[3]~I .output_mode = "reg_only";
44505
defparam \wait_write[3]~I .packed_mode = "false";
44506
// synopsys translate_on
44507
 
44508
// atom is at LC4_D25
44509
flex10ke_lcell \gluclock_addr[3]~I (
44510
// Equation(s):
44511
// gluclock_addr_3 = DFFEA(\d[3]~4 , GLOBAL(\clkz_in~dataout ), , , \gluclock_addr[0]~56 , , )
44512
 
44513
	.dataa(\gluclock_addr[0]~56 ),
44514
	.datab(vcc),
44515
	.datac(vcc),
44516
	.datad(d_3),
44517
	.aclr(gnd),
44518
	.aload(gnd),
44519
	.clk(zclk),
44520
	.cin(gnd),
44521
	.cascin(vcc),
44522
	.devclrn(devclrn),
44523
	.devpor(devpor),
44524
	.combout(),
44525
	.regout(gluclock_addr_3),
44526
	.cout(),
44527
	.cascout());
44528
// synopsys translate_off
44529
defparam \gluclock_addr[3]~I .clock_enable_mode = "true";
44530
defparam \gluclock_addr[3]~I .lut_mask = "ff00";
44531
defparam \gluclock_addr[3]~I .operation_mode = "normal";
44532
defparam \gluclock_addr[3]~I .output_mode = "reg_only";
44533
defparam \gluclock_addr[3]~I .packed_mode = "false";
44534
// synopsys translate_on
44535
 
44536
// atom is at LC8_H36
44537
flex10ke_lcell \Selector0~1469_I (
44538
// Equation(s):
44539
// Selector01 = (\a~dataout [7] & \a~dataout [6] & (\a~dataout [2] $ !\a~dataout [5])) & CASCADE(\Selector0~1481 )
44540
 
44541
	.dataa(a_2),
44542
	.datab(a_5),
44543
	.datac(a_7),
44544
	.datad(a_6),
44545
	.aclr(gnd),
44546
	.aload(gnd),
44547
	.clk(gnd),
44548
	.cin(gnd),
44549
	.cascin(\Selector0~1481 ),
44550
	.devclrn(devclrn),
44551
	.devpor(devpor),
44552
	.combout(Selector01),
44553
	.regout(),
44554
	.cout(),
44555
	.cascout());
44556
// synopsys translate_off
44557
defparam \Selector0~1469_I .clock_enable_mode = "false";
44558
defparam \Selector0~1469_I .lut_mask = "9000";
44559
defparam \Selector0~1469_I .operation_mode = "normal";
44560
defparam \Selector0~1469_I .output_mode = "comb_only";
44561
defparam \Selector0~1469_I .packed_mode = "false";
44562
// synopsys translate_on
44563
 
44564
// atom is at LC4_D24
44565
flex10ke_lcell \dataout~113_I (
44566
// Equation(s):
44567
// dataout = (porthit & (!\Equal19~30  & \Equal20~46  # !shadow)) & CASCADE(\dataout~116 )
44568
 
44569
	.dataa(shadow),
44570
	.datab(\Equal19~30 ),
44571
	.datac(\Equal20~46 ),
44572
	.datad(porthit),
44573
	.aclr(gnd),
44574
	.aload(gnd),
44575
	.clk(gnd),
44576
	.cin(gnd),
44577
	.cascin(\dataout~116 ),
44578
	.devclrn(devclrn),
44579
	.devpor(devpor),
44580
	.combout(dataout),
44581
	.regout(),
44582
	.cout(),
44583
	.cascout());
44584
// synopsys translate_off
44585
defparam \dataout~113_I .clock_enable_mode = "false";
44586
defparam \dataout~113_I .lut_mask = "7500";
44587
defparam \dataout~113_I .operation_mode = "normal";
44588
defparam \dataout~113_I .output_mode = "comb_only";
44589
defparam \dataout~113_I .packed_mode = "false";
44590
// synopsys translate_on
44591
 
44592
// atom is at LC7_H5
44593
flex10ke_lcell \Selector7~1898_I (
44594
// Equation(s):
44595
// Selector71 = (\a~dataout [0] # \iderdeven~0  & !idehiin[0] # !\iderdeven~0  & (!\ide_d[0]~15 )) & CASCADE(\Selector7~1924 )
44596
 
44597
	.dataa(idehiin[0]),
44598
	.datab(ide_d_0),
44599
	.datac(\iderdeven~0 ),
44600
	.datad(a_0),
44601
	.aclr(gnd),
44602
	.aload(gnd),
44603
	.clk(gnd),
44604
	.cin(gnd),
44605
	.cascin(\Selector7~1924 ),
44606
	.devclrn(devclrn),
44607
	.devpor(devpor),
44608
	.combout(Selector71),
44609
	.regout(),
44610
	.cout(),
44611
	.cascout());
44612
// synopsys translate_off
44613
defparam \Selector7~1898_I .clock_enable_mode = "false";
44614
defparam \Selector7~1898_I .lut_mask = "ff53";
44615
defparam \Selector7~1898_I .operation_mode = "normal";
44616
defparam \Selector7~1898_I .output_mode = "comb_only";
44617
defparam \Selector7~1898_I .packed_mode = "false";
44618
// synopsys translate_on
44619
 
44620
// atom is at LC6_E35
44621
flex10ke_lcell \Selector7~1899_I (
44622
// Equation(s):
44623
// Selector72 = (\a~dataout [6] # !kj_data_0 & \Equal1~42  & \a~dataout [0]) & CASCADE(\Selector7~1931 )
44624
 
44625
	.dataa(kj_data_0),
44626
	.datab(\Equal1~42 ),
44627
	.datac(a_0),
44628
	.datad(a_6),
44629
	.aclr(gnd),
44630
	.aload(gnd),
44631
	.clk(gnd),
44632
	.cin(gnd),
44633
	.cascin(\Selector7~1931 ),
44634
	.devclrn(devclrn),
44635
	.devpor(devpor),
44636
	.combout(Selector72),
44637
	.regout(),
44638
	.cout(),
44639
	.cascout());
44640
// synopsys translate_off
44641
defparam \Selector7~1899_I .clock_enable_mode = "false";
44642
defparam \Selector7~1899_I .lut_mask = "ff40";
44643
defparam \Selector7~1899_I .operation_mode = "normal";
44644
defparam \Selector7~1899_I .output_mode = "comb_only";
44645
defparam \Selector7~1899_I .packed_mode = "false";
44646
// synopsys translate_on
44647
 
44648
// atom is at LC7_H32
44649
flex10ke_lcell \Selector6~1408_I (
44650
// Equation(s):
44651
// Selector6 = (\a~dataout [0] # \iderdeven~0  & !idehiin[1] # !\iderdeven~0  & (!\ide_d[1]~14 )) & CASCADE(\Selector6~1424 )
44652
 
44653
	.dataa(idehiin[1]),
44654
	.datab(ide_d_1),
44655
	.datac(\iderdeven~0 ),
44656
	.datad(a_0),
44657
	.aclr(gnd),
44658
	.aload(gnd),
44659
	.clk(gnd),
44660
	.cin(gnd),
44661
	.cascin(\Selector6~1424 ),
44662
	.devclrn(devclrn),
44663
	.devpor(devpor),
44664
	.combout(Selector6),
44665
	.regout(),
44666
	.cout(),
44667
	.cascout());
44668
// synopsys translate_off
44669
defparam \Selector6~1408_I .clock_enable_mode = "false";
44670
defparam \Selector6~1408_I .lut_mask = "ff53";
44671
defparam \Selector6~1408_I .operation_mode = "normal";
44672
defparam \Selector6~1408_I .output_mode = "comb_only";
44673
defparam \Selector6~1408_I .packed_mode = "false";
44674
// synopsys translate_on
44675
 
44676
// atom is at LC2_H33
44677
flex10ke_lcell \Selector6~1409_I (
44678
// Equation(s):
44679
// Selector61 = (\a~dataout [6] # !kj_data_1 & \Equal1~42  & \a~dataout [0]) & CASCADE(\Selector6~1430 )
44680
 
44681
	.dataa(kj_data_1),
44682
	.datab(\Equal1~42 ),
44683
	.datac(a_0),
44684
	.datad(a_6),
44685
	.aclr(gnd),
44686
	.aload(gnd),
44687
	.clk(gnd),
44688
	.cin(gnd),
44689
	.cascin(\Selector6~1430 ),
44690
	.devclrn(devclrn),
44691
	.devpor(devpor),
44692
	.combout(Selector61),
44693
	.regout(),
44694
	.cout(),
44695
	.cascout());
44696
// synopsys translate_off
44697
defparam \Selector6~1409_I .clock_enable_mode = "false";
44698
defparam \Selector6~1409_I .lut_mask = "ff40";
44699
defparam \Selector6~1409_I .operation_mode = "normal";
44700
defparam \Selector6~1409_I .output_mode = "comb_only";
44701
defparam \Selector6~1409_I .packed_mode = "false";
44702
// synopsys translate_on
44703
 
44704
// atom is at LC2_H32
44705
flex10ke_lcell \Selector5~1408_I (
44706
// Equation(s):
44707
// Selector5 = (\a~dataout [0] # \iderdeven~0  & !idehiin[2] # !\iderdeven~0  & (!\ide_d[2]~13 )) & CASCADE(\Selector5~1424 )
44708
 
44709
	.dataa(idehiin[2]),
44710
	.datab(ide_d_2),
44711
	.datac(\iderdeven~0 ),
44712
	.datad(a_0),
44713
	.aclr(gnd),
44714
	.aload(gnd),
44715
	.clk(gnd),
44716
	.cin(gnd),
44717
	.cascin(\Selector5~1424 ),
44718
	.devclrn(devclrn),
44719
	.devpor(devpor),
44720
	.combout(Selector5),
44721
	.regout(),
44722
	.cout(),
44723
	.cascout());
44724
// synopsys translate_off
44725
defparam \Selector5~1408_I .clock_enable_mode = "false";
44726
defparam \Selector5~1408_I .lut_mask = "ff53";
44727
defparam \Selector5~1408_I .operation_mode = "normal";
44728
defparam \Selector5~1408_I .output_mode = "comb_only";
44729
defparam \Selector5~1408_I .packed_mode = "false";
44730
// synopsys translate_on
44731
 
44732
// atom is at LC8_E34
44733
flex10ke_lcell \Selector5~1409_I (
44734
// Equation(s):
44735
// Selector51 = (\a~dataout [6] # !kj_data_2 & \Equal1~42  & \a~dataout [0]) & CASCADE(\Selector5~1430 )
44736
 
44737
	.dataa(kj_data_2),
44738
	.datab(\Equal1~42 ),
44739
	.datac(a_0),
44740
	.datad(a_6),
44741
	.aclr(gnd),
44742
	.aload(gnd),
44743
	.clk(gnd),
44744
	.cin(gnd),
44745
	.cascin(\Selector5~1430 ),
44746
	.devclrn(devclrn),
44747
	.devpor(devpor),
44748
	.combout(Selector51),
44749
	.regout(),
44750
	.cout(),
44751
	.cascout());
44752
// synopsys translate_off
44753
defparam \Selector5~1409_I .clock_enable_mode = "false";
44754
defparam \Selector5~1409_I .lut_mask = "ff40";
44755
defparam \Selector5~1409_I .operation_mode = "normal";
44756
defparam \Selector5~1409_I .output_mode = "comb_only";
44757
defparam \Selector5~1409_I .packed_mode = "false";
44758
// synopsys translate_on
44759
 
44760
// atom is at LC2_H17
44761
flex10ke_lcell \Selector4~1408_I (
44762
// Equation(s):
44763
// Selector4 = (\a~dataout [0] # \iderdeven~0  & !idehiin[3] # !\iderdeven~0  & (!\ide_d[3]~12 )) & CASCADE(\Selector4~1424 )
44764
 
44765
	.dataa(idehiin[3]),
44766
	.datab(ide_d_3),
44767
	.datac(\iderdeven~0 ),
44768
	.datad(a_0),
44769
	.aclr(gnd),
44770
	.aload(gnd),
44771
	.clk(gnd),
44772
	.cin(gnd),
44773
	.cascin(\Selector4~1424 ),
44774
	.devclrn(devclrn),
44775
	.devpor(devpor),
44776
	.combout(Selector4),
44777
	.regout(),
44778
	.cout(),
44779
	.cascout());
44780
// synopsys translate_off
44781
defparam \Selector4~1408_I .clock_enable_mode = "false";
44782
defparam \Selector4~1408_I .lut_mask = "ff53";
44783
defparam \Selector4~1408_I .operation_mode = "normal";
44784
defparam \Selector4~1408_I .output_mode = "comb_only";
44785
defparam \Selector4~1408_I .packed_mode = "false";
44786
// synopsys translate_on
44787
 
44788
// atom is at LC6_E29
44789
flex10ke_lcell \Selector4~1409_I (
44790
// Equation(s):
44791
// Selector41 = (\a~dataout [6] # !kj_data_3 & \Equal1~42  & \a~dataout [0]) & CASCADE(\Selector4~1430 )
44792
 
44793
	.dataa(kj_data_3),
44794
	.datab(\Equal1~42 ),
44795
	.datac(a_0),
44796
	.datad(a_6),
44797
	.aclr(gnd),
44798
	.aload(gnd),
44799
	.clk(gnd),
44800
	.cin(gnd),
44801
	.cascin(\Selector4~1430 ),
44802
	.devclrn(devclrn),
44803
	.devpor(devpor),
44804
	.combout(Selector41),
44805
	.regout(),
44806
	.cout(),
44807
	.cascout());
44808
// synopsys translate_off
44809
defparam \Selector4~1409_I .clock_enable_mode = "false";
44810
defparam \Selector4~1409_I .lut_mask = "ff40";
44811
defparam \Selector4~1409_I .operation_mode = "normal";
44812
defparam \Selector4~1409_I .output_mode = "comb_only";
44813
defparam \Selector4~1409_I .packed_mode = "false";
44814
// synopsys translate_on
44815
 
44816
// atom is at LC7_H27
44817
flex10ke_lcell \Selector3~1408_I (
44818
// Equation(s):
44819
// Selector3 = (\a~dataout [0] # \iderdeven~0  & !idehiin[4] # !\iderdeven~0  & (!\ide_d[4]~11 )) & CASCADE(\Selector3~1424 )
44820
 
44821
	.dataa(idehiin[4]),
44822
	.datab(ide_d_4),
44823
	.datac(\iderdeven~0 ),
44824
	.datad(a_0),
44825
	.aclr(gnd),
44826
	.aload(gnd),
44827
	.clk(gnd),
44828
	.cin(gnd),
44829
	.cascin(\Selector3~1424 ),
44830
	.devclrn(devclrn),
44831
	.devpor(devpor),
44832
	.combout(Selector3),
44833
	.regout(),
44834
	.cout(),
44835
	.cascout());
44836
// synopsys translate_off
44837
defparam \Selector3~1408_I .clock_enable_mode = "false";
44838
defparam \Selector3~1408_I .lut_mask = "ff53";
44839
defparam \Selector3~1408_I .operation_mode = "normal";
44840
defparam \Selector3~1408_I .output_mode = "comb_only";
44841
defparam \Selector3~1408_I .packed_mode = "false";
44842
// synopsys translate_on
44843
 
44844
// atom is at LC2_A23
44845
flex10ke_lcell \Selector3~1409_I (
44846
// Equation(s):
44847
// Selector31 = (\a~dataout [6] # !kj_data_4 & \Equal1~42  & \a~dataout [0]) & CASCADE(\Selector3~1430 )
44848
 
44849
	.dataa(kj_data_4),
44850
	.datab(\Equal1~42 ),
44851
	.datac(a_0),
44852
	.datad(a_6),
44853
	.aclr(gnd),
44854
	.aload(gnd),
44855
	.clk(gnd),
44856
	.cin(gnd),
44857
	.cascin(\Selector3~1430 ),
44858
	.devclrn(devclrn),
44859
	.devpor(devpor),
44860
	.combout(Selector31),
44861
	.regout(),
44862
	.cout(),
44863
	.cascout());
44864
// synopsys translate_off
44865
defparam \Selector3~1409_I .clock_enable_mode = "false";
44866
defparam \Selector3~1409_I .lut_mask = "ff40";
44867
defparam \Selector3~1409_I .operation_mode = "normal";
44868
defparam \Selector3~1409_I .output_mode = "comb_only";
44869
defparam \Selector3~1409_I .packed_mode = "false";
44870
// synopsys translate_on
44871
 
44872
// atom is at LC3_H8
44873
flex10ke_lcell \Selector2~1468_I (
44874
// Equation(s):
44875
// Selector21 = (\a~dataout [0] # \iderdeven~0  & !idehiin[5] # !\iderdeven~0  & (!\ide_d[5]~10 )) & CASCADE(\Selector2~1482 )
44876
 
44877
	.dataa(idehiin[5]),
44878
	.datab(ide_d_5),
44879
	.datac(\iderdeven~0 ),
44880
	.datad(a_0),
44881
	.aclr(gnd),
44882
	.aload(gnd),
44883
	.clk(gnd),
44884
	.cin(gnd),
44885
	.cascin(\Selector2~1482 ),
44886
	.devclrn(devclrn),
44887
	.devpor(devpor),
44888
	.combout(Selector21),
44889
	.regout(),
44890
	.cout(),
44891
	.cascout());
44892
// synopsys translate_off
44893
defparam \Selector2~1468_I .clock_enable_mode = "false";
44894
defparam \Selector2~1468_I .lut_mask = "ff53";
44895
defparam \Selector2~1468_I .operation_mode = "normal";
44896
defparam \Selector2~1468_I .output_mode = "comb_only";
44897
defparam \Selector2~1468_I .packed_mode = "false";
44898
// synopsys translate_on
44899
 
44900
// atom is at LC2_H34
44901
flex10ke_lcell \Selector1~1400_I (
44902
// Equation(s):
44903
// Selector11 = (\a~dataout [0] # \iderdeven~0  & !idehiin[6] # !\iderdeven~0  & (!\ide_d[6]~9 )) & CASCADE(\Selector1~1416 )
44904
 
44905
	.dataa(idehiin[6]),
44906
	.datab(ide_d_6),
44907
	.datac(\iderdeven~0 ),
44908
	.datad(a_0),
44909
	.aclr(gnd),
44910
	.aload(gnd),
44911
	.clk(gnd),
44912
	.cin(gnd),
44913
	.cascin(\Selector1~1416 ),
44914
	.devclrn(devclrn),
44915
	.devpor(devpor),
44916
	.combout(Selector11),
44917
	.regout(),
44918
	.cout(),
44919
	.cascout());
44920
// synopsys translate_off
44921
defparam \Selector1~1400_I .clock_enable_mode = "false";
44922
defparam \Selector1~1400_I .lut_mask = "ff53";
44923
defparam \Selector1~1400_I .operation_mode = "normal";
44924
defparam \Selector1~1400_I .output_mode = "comb_only";
44925
defparam \Selector1~1400_I .packed_mode = "false";
44926
// synopsys translate_on
44927
 
44928
// atom is at LC4_H23
44929
flex10ke_lcell \Selector0~1470_I (
44930
// Equation(s):
44931
// Selector02 = (\a~dataout [0] # \iderdeven~0  & !idehiin[7] # !\iderdeven~0  & (!\ide_d[7]~8 )) & CASCADE(\Selector0~1486 )
44932
 
44933
	.dataa(idehiin[7]),
44934
	.datab(ide_d_7),
44935
	.datac(\iderdeven~0 ),
44936
	.datad(a_0),
44937
	.aclr(gnd),
44938
	.aload(gnd),
44939
	.clk(gnd),
44940
	.cin(gnd),
44941
	.cascin(\Selector0~1486 ),
44942
	.devclrn(devclrn),
44943
	.devpor(devpor),
44944
	.combout(Selector02),
44945
	.regout(),
44946
	.cout(),
44947
	.cascout());
44948
// synopsys translate_off
44949
defparam \Selector0~1470_I .clock_enable_mode = "false";
44950
defparam \Selector0~1470_I .lut_mask = "ff53";
44951
defparam \Selector0~1470_I .operation_mode = "normal";
44952
defparam \Selector0~1470_I .output_mode = "comb_only";
44953
defparam \Selector0~1470_I .packed_mode = "false";
44954
// synopsys translate_on
44955
 
44956
// atom is at LC3_D30
44957
flex10ke_lcell \wait_write[4]~I (
44958
// Equation(s):
44959
// wait_write_4 = DFFEA(\d[4]~3 , GLOBAL(\clkz_in~dataout ), , , \wait_write~358 , , )
44960
 
44961
	.dataa(\wait_write~358 ),
44962
	.datab(vcc),
44963
	.datac(vcc),
44964
	.datad(d_4),
44965
	.aclr(gnd),
44966
	.aload(gnd),
44967
	.clk(zclk),
44968
	.cin(gnd),
44969
	.cascin(vcc),
44970
	.devclrn(devclrn),
44971
	.devpor(devpor),
44972
	.combout(),
44973
	.regout(wait_write_4),
44974
	.cout(),
44975
	.cascout());
44976
// synopsys translate_off
44977
defparam \wait_write[4]~I .clock_enable_mode = "true";
44978
defparam \wait_write[4]~I .lut_mask = "ff00";
44979
defparam \wait_write[4]~I .operation_mode = "normal";
44980
defparam \wait_write[4]~I .output_mode = "reg_only";
44981
defparam \wait_write[4]~I .packed_mode = "false";
44982
// synopsys translate_on
44983
 
44984
// atom is at LC4_D30
44985
flex10ke_lcell \gluclock_addr[4]~I (
44986
// Equation(s):
44987
// gluclock_addr_4 = DFFEA(\d[4]~3 , GLOBAL(\clkz_in~dataout ), , , \gluclock_addr[0]~56 , , )
44988
 
44989
	.dataa(\gluclock_addr[0]~56 ),
44990
	.datab(vcc),
44991
	.datac(vcc),
44992
	.datad(d_4),
44993
	.aclr(gnd),
44994
	.aload(gnd),
44995
	.clk(zclk),
44996
	.cin(gnd),
44997
	.cascin(vcc),
44998
	.devclrn(devclrn),
44999
	.devpor(devpor),
45000
	.combout(),
45001
	.regout(gluclock_addr_4),
45002
	.cout(),
45003
	.cascout());
45004
// synopsys translate_off
45005
defparam \gluclock_addr[4]~I .clock_enable_mode = "true";
45006
defparam \gluclock_addr[4]~I .lut_mask = "ff00";
45007
defparam \gluclock_addr[4]~I .operation_mode = "normal";
45008
defparam \gluclock_addr[4]~I .output_mode = "reg_only";
45009
defparam \gluclock_addr[4]~I .packed_mode = "false";
45010
// synopsys translate_on
45011
 
45012
// atom is at LC6_D30
45013
flex10ke_lcell \wait_write[5]~I (
45014
// Equation(s):
45015
// wait_write_5 = DFFEA(\d[5]~2 , GLOBAL(\clkz_in~dataout ), , , \wait_write~358 , , )
45016
 
45017
	.dataa(\wait_write~358 ),
45018
	.datab(vcc),
45019
	.datac(vcc),
45020
	.datad(d_5),
45021
	.aclr(gnd),
45022
	.aload(gnd),
45023
	.clk(zclk),
45024
	.cin(gnd),
45025
	.cascin(vcc),
45026
	.devclrn(devclrn),
45027
	.devpor(devpor),
45028
	.combout(),
45029
	.regout(wait_write_5),
45030
	.cout(),
45031
	.cascout());
45032
// synopsys translate_off
45033
defparam \wait_write[5]~I .clock_enable_mode = "true";
45034
defparam \wait_write[5]~I .lut_mask = "ff00";
45035
defparam \wait_write[5]~I .operation_mode = "normal";
45036
defparam \wait_write[5]~I .output_mode = "reg_only";
45037
defparam \wait_write[5]~I .packed_mode = "false";
45038
// synopsys translate_on
45039
 
45040
// atom is at LC7_D30
45041
flex10ke_lcell \gluclock_addr[5]~I (
45042
// Equation(s):
45043
// gluclock_addr_5 = DFFEA(\d[5]~2 , GLOBAL(\clkz_in~dataout ), , , \gluclock_addr[0]~56 , , )
45044
 
45045
	.dataa(\gluclock_addr[0]~56 ),
45046
	.datab(vcc),
45047
	.datac(vcc),
45048
	.datad(d_5),
45049
	.aclr(gnd),
45050
	.aload(gnd),
45051
	.clk(zclk),
45052
	.cin(gnd),
45053
	.cascin(vcc),
45054
	.devclrn(devclrn),
45055
	.devpor(devpor),
45056
	.combout(),
45057
	.regout(gluclock_addr_5),
45058
	.cout(),
45059
	.cascout());
45060
// synopsys translate_off
45061
defparam \gluclock_addr[5]~I .clock_enable_mode = "true";
45062
defparam \gluclock_addr[5]~I .lut_mask = "ff00";
45063
defparam \gluclock_addr[5]~I .operation_mode = "normal";
45064
defparam \gluclock_addr[5]~I .output_mode = "reg_only";
45065
defparam \gluclock_addr[5]~I .packed_mode = "false";
45066
// synopsys translate_on
45067
 
45068
// atom is at LC3_D14
45069
flex10ke_lcell \wait_write[6]~I (
45070
// Equation(s):
45071
// wait_write_6 = DFFEA(\d[6]~1 , GLOBAL(\clkz_in~dataout ), , , \wait_write~358 , , )
45072
 
45073
	.dataa(\wait_write~358 ),
45074
	.datab(vcc),
45075
	.datac(vcc),
45076
	.datad(d_6),
45077
	.aclr(gnd),
45078
	.aload(gnd),
45079
	.clk(zclk),
45080
	.cin(gnd),
45081
	.cascin(vcc),
45082
	.devclrn(devclrn),
45083
	.devpor(devpor),
45084
	.combout(),
45085
	.regout(wait_write_6),
45086
	.cout(),
45087
	.cascout());
45088
// synopsys translate_off
45089
defparam \wait_write[6]~I .clock_enable_mode = "true";
45090
defparam \wait_write[6]~I .lut_mask = "ff00";
45091
defparam \wait_write[6]~I .operation_mode = "normal";
45092
defparam \wait_write[6]~I .output_mode = "reg_only";
45093
defparam \wait_write[6]~I .packed_mode = "false";
45094
// synopsys translate_on
45095
 
45096
// atom is at LC5_D25
45097
flex10ke_lcell \gluclock_addr[6]~I (
45098
// Equation(s):
45099
// gluclock_addr_6 = DFFEA(\d[6]~1 , GLOBAL(\clkz_in~dataout ), , , \gluclock_addr[0]~56 , , )
45100
 
45101
	.dataa(\gluclock_addr[0]~56 ),
45102
	.datab(vcc),
45103
	.datac(vcc),
45104
	.datad(d_6),
45105
	.aclr(gnd),
45106
	.aload(gnd),
45107
	.clk(zclk),
45108
	.cin(gnd),
45109
	.cascin(vcc),
45110
	.devclrn(devclrn),
45111
	.devpor(devpor),
45112
	.combout(),
45113
	.regout(gluclock_addr_6),
45114
	.cout(),
45115
	.cascout());
45116
// synopsys translate_off
45117
defparam \gluclock_addr[6]~I .clock_enable_mode = "true";
45118
defparam \gluclock_addr[6]~I .lut_mask = "ff00";
45119
defparam \gluclock_addr[6]~I .operation_mode = "normal";
45120
defparam \gluclock_addr[6]~I .output_mode = "reg_only";
45121
defparam \gluclock_addr[6]~I .packed_mode = "false";
45122
// synopsys translate_on
45123
 
45124
// atom is at LC6_D14
45125
flex10ke_lcell \wait_write[7]~I (
45126
// Equation(s):
45127
// wait_write_7 = DFFEA(\d[7]~0 , GLOBAL(\clkz_in~dataout ), , , \wait_write~358 , , )
45128
 
45129
	.dataa(\wait_write~358 ),
45130
	.datab(vcc),
45131
	.datac(vcc),
45132
	.datad(d_7),
45133
	.aclr(gnd),
45134
	.aload(gnd),
45135
	.clk(zclk),
45136
	.cin(gnd),
45137
	.cascin(vcc),
45138
	.devclrn(devclrn),
45139
	.devpor(devpor),
45140
	.combout(),
45141
	.regout(wait_write_7),
45142
	.cout(),
45143
	.cascout());
45144
// synopsys translate_off
45145
defparam \wait_write[7]~I .clock_enable_mode = "true";
45146
defparam \wait_write[7]~I .lut_mask = "ff00";
45147
defparam \wait_write[7]~I .operation_mode = "normal";
45148
defparam \wait_write[7]~I .output_mode = "reg_only";
45149
defparam \wait_write[7]~I .packed_mode = "false";
45150
// synopsys translate_on
45151
 
45152
// atom is at LC8_D1
45153
flex10ke_lcell \gluclock_addr[7]~I (
45154
// Equation(s):
45155
// gluclock_addr_7 = DFFEA(\d[7]~0 , GLOBAL(\clkz_in~dataout ), , , \gluclock_addr[0]~56 , , )
45156
 
45157
	.dataa(\gluclock_addr[0]~56 ),
45158
	.datab(vcc),
45159
	.datac(vcc),
45160
	.datad(d_7),
45161
	.aclr(gnd),
45162
	.aload(gnd),
45163
	.clk(zclk),
45164
	.cin(gnd),
45165
	.cascin(vcc),
45166
	.devclrn(devclrn),
45167
	.devpor(devpor),
45168
	.combout(),
45169
	.regout(gluclock_addr_7),
45170
	.cout(),
45171
	.cascout());
45172
// synopsys translate_off
45173
defparam \gluclock_addr[7]~I .clock_enable_mode = "true";
45174
defparam \gluclock_addr[7]~I .lut_mask = "ff00";
45175
defparam \gluclock_addr[7]~I .operation_mode = "normal";
45176
defparam \gluclock_addr[7]~I .output_mode = "reg_only";
45177
defparam \gluclock_addr[7]~I .packed_mode = "false";
45178
// synopsys translate_on
45179
 
45180
// atom is at LC8_D12
45181
flex10ke_lcell \wait_rnw~I (
45182
// Equation(s):
45183
// wait_rnw = DFFEA(port_rd # !port_wr & wait_rnw, GLOBAL(\clkz_in~dataout ), , , , , )
45184
 
45185
	.dataa(vcc),
45186
	.datab(port_wr),
45187
	.datac(wait_rnw),
45188
	.datad(port_rd),
45189
	.aclr(gnd),
45190
	.aload(gnd),
45191
	.clk(zclk),
45192
	.cin(gnd),
45193
	.cascin(vcc),
45194
	.devclrn(devclrn),
45195
	.devpor(devpor),
45196
	.combout(),
45197
	.regout(wait_rnw),
45198
	.cout(),
45199
	.cascout());
45200
// synopsys translate_off
45201
defparam \wait_rnw~I .clock_enable_mode = "false";
45202
defparam \wait_rnw~I .lut_mask = "ff30";
45203
defparam \wait_rnw~I .operation_mode = "normal";
45204
defparam \wait_rnw~I .output_mode = "reg_only";
45205
defparam \wait_rnw~I .packed_mode = "false";
45206
// synopsys translate_on
45207
 
45208
// atom is at LC2_H28
45209
flex10ke_lcell \Selector6~1407_I (
45210
// Equation(s):
45211
// Selector62 = (\a~dataout [7] & \a~dataout [6] & (\a~dataout [2] $ !\a~dataout [5])) & CASCADE(\Selector6~1419 )
45212
 
45213
	.dataa(a_2),
45214
	.datab(a_5),
45215
	.datac(a_7),
45216
	.datad(a_6),
45217
	.aclr(gnd),
45218
	.aload(gnd),
45219
	.clk(gnd),
45220
	.cin(gnd),
45221
	.cascin(\Selector6~1419 ),
45222
	.devclrn(devclrn),
45223
	.devpor(devpor),
45224
	.combout(Selector62),
45225
	.regout(),
45226
	.cout(),
45227
	.cascout());
45228
// synopsys translate_off
45229
defparam \Selector6~1407_I .clock_enable_mode = "false";
45230
defparam \Selector6~1407_I .lut_mask = "9000";
45231
defparam \Selector6~1407_I .operation_mode = "normal";
45232
defparam \Selector6~1407_I .output_mode = "comb_only";
45233
defparam \Selector6~1407_I .packed_mode = "false";
45234
// synopsys translate_on
45235
 
45236
// atom is at LC6_H28
45237
flex10ke_lcell \Selector5~1407_I (
45238
// Equation(s):
45239
// Selector52 = (\a~dataout [7] & \a~dataout [6] & (\a~dataout [2] $ !\a~dataout [5])) & CASCADE(\Selector5~1419 )
45240
 
45241
	.dataa(a_2),
45242
	.datab(a_5),
45243
	.datac(a_7),
45244
	.datad(a_6),
45245
	.aclr(gnd),
45246
	.aload(gnd),
45247
	.clk(gnd),
45248
	.cin(gnd),
45249
	.cascin(\Selector5~1419 ),
45250
	.devclrn(devclrn),
45251
	.devpor(devpor),
45252
	.combout(Selector52),
45253
	.regout(),
45254
	.cout(),
45255
	.cascout());
45256
// synopsys translate_off
45257
defparam \Selector5~1407_I .clock_enable_mode = "false";
45258
defparam \Selector5~1407_I .lut_mask = "9000";
45259
defparam \Selector5~1407_I .operation_mode = "normal";
45260
defparam \Selector5~1407_I .output_mode = "comb_only";
45261
defparam \Selector5~1407_I .packed_mode = "false";
45262
// synopsys translate_on
45263
 
45264
// atom is at LC8_H14
45265
flex10ke_lcell \Selector4~1407_I (
45266
// Equation(s):
45267
// Selector42 = (\a~dataout [7] & \a~dataout [6] & (\a~dataout [2] $ !\a~dataout [5])) & CASCADE(\Selector4~1419 )
45268
 
45269
	.dataa(a_2),
45270
	.datab(a_5),
45271
	.datac(a_7),
45272
	.datad(a_6),
45273
	.aclr(gnd),
45274
	.aload(gnd),
45275
	.clk(gnd),
45276
	.cin(gnd),
45277
	.cascin(\Selector4~1419 ),
45278
	.devclrn(devclrn),
45279
	.devpor(devpor),
45280
	.combout(Selector42),
45281
	.regout(),
45282
	.cout(),
45283
	.cascout());
45284
// synopsys translate_off
45285
defparam \Selector4~1407_I .clock_enable_mode = "false";
45286
defparam \Selector4~1407_I .lut_mask = "9000";
45287
defparam \Selector4~1407_I .operation_mode = "normal";
45288
defparam \Selector4~1407_I .output_mode = "comb_only";
45289
defparam \Selector4~1407_I .packed_mode = "false";
45290
// synopsys translate_on
45291
 
45292
// atom is at LC2_H27
45293
flex10ke_lcell \Selector3~1407_I (
45294
// Equation(s):
45295
// Selector32 = (\a~dataout [7] & \a~dataout [6] & (\a~dataout [2] $ !\a~dataout [5])) & CASCADE(\Selector3~1419 )
45296
 
45297
	.dataa(a_2),
45298
	.datab(a_5),
45299
	.datac(a_7),
45300
	.datad(a_6),
45301
	.aclr(gnd),
45302
	.aload(gnd),
45303
	.clk(gnd),
45304
	.cin(gnd),
45305
	.cascin(\Selector3~1419 ),
45306
	.devclrn(devclrn),
45307
	.devpor(devpor),
45308
	.combout(Selector32),
45309
	.regout(),
45310
	.cout(),
45311
	.cascout());
45312
// synopsys translate_off
45313
defparam \Selector3~1407_I .clock_enable_mode = "false";
45314
defparam \Selector3~1407_I .lut_mask = "9000";
45315
defparam \Selector3~1407_I .operation_mode = "normal";
45316
defparam \Selector3~1407_I .output_mode = "comb_only";
45317
defparam \Selector3~1407_I .packed_mode = "false";
45318
// synopsys translate_on
45319
 
45320
// atom is at LC2_H14
45321
flex10ke_lcell \Selector2~1467_I (
45322
// Equation(s):
45323
// Selector22 = (\a~dataout [7] & \a~dataout [6] & (\a~dataout [2] $ !\a~dataout [5])) & CASCADE(\Selector2~1477 )
45324
 
45325
	.dataa(a_2),
45326
	.datab(a_5),
45327
	.datac(a_7),
45328
	.datad(a_6),
45329
	.aclr(gnd),
45330
	.aload(gnd),
45331
	.clk(gnd),
45332
	.cin(gnd),
45333
	.cascin(\Selector2~1477 ),
45334
	.devclrn(devclrn),
45335
	.devpor(devpor),
45336
	.combout(Selector22),
45337
	.regout(),
45338
	.cout(),
45339
	.cascout());
45340
// synopsys translate_off
45341
defparam \Selector2~1467_I .clock_enable_mode = "false";
45342
defparam \Selector2~1467_I .lut_mask = "9000";
45343
defparam \Selector2~1467_I .operation_mode = "normal";
45344
defparam \Selector2~1467_I .output_mode = "comb_only";
45345
defparam \Selector2~1467_I .packed_mode = "false";
45346
// synopsys translate_on
45347
 
45348
// atom is at LC5_H36
45349
flex10ke_lcell \Selector1~1399_I (
45350
// Equation(s):
45351
// Selector12 = (\a~dataout [7] & \a~dataout [6] & (\a~dataout [2] $ !\a~dataout [5])) & CASCADE(\Selector1~1411 )
45352
 
45353
	.dataa(a_2),
45354
	.datab(a_5),
45355
	.datac(a_7),
45356
	.datad(a_6),
45357
	.aclr(gnd),
45358
	.aload(gnd),
45359
	.clk(gnd),
45360
	.cin(gnd),
45361
	.cascin(\Selector1~1411 ),
45362
	.devclrn(devclrn),
45363
	.devpor(devpor),
45364
	.combout(Selector12),
45365
	.regout(),
45366
	.cout(),
45367
	.cascout());
45368
// synopsys translate_off
45369
defparam \Selector1~1399_I .clock_enable_mode = "false";
45370
defparam \Selector1~1399_I .lut_mask = "9000";
45371
defparam \Selector1~1399_I .operation_mode = "normal";
45372
defparam \Selector1~1399_I .output_mode = "comb_only";
45373
defparam \Selector1~1399_I .packed_mode = "false";
45374
// synopsys translate_on
45375
 
45376
// atom is at LC7_J9
45377
flex10ke_lcell \sd_start~_wirecell_I (
45378
// Equation(s):
45379
// sd_start1 = !sd_start
45380
 
45381
	.dataa(vcc),
45382
	.datab(vcc),
45383
	.datac(vcc),
45384
	.datad(sd_start),
45385
	.aclr(gnd),
45386
	.aload(gnd),
45387
	.clk(gnd),
45388
	.cin(gnd),
45389
	.cascin(vcc),
45390
	.devclrn(devclrn),
45391
	.devpor(devpor),
45392
	.combout(sd_start1),
45393
	.regout(),
45394
	.cout(),
45395
	.cascout());
45396
// synopsys translate_off
45397
defparam \sd_start~_wirecell_I .clock_enable_mode = "false";
45398
defparam \sd_start~_wirecell_I .lut_mask = "00ff";
45399
defparam \sd_start~_wirecell_I .operation_mode = "normal";
45400
defparam \sd_start~_wirecell_I .output_mode = "comb_only";
45401
defparam \sd_start~_wirecell_I .packed_mode = "false";
45402
// synopsys translate_on
45403
 
45404
// atom is at LC2_D4
45405
flex10ke_lcell \ide_rd_n~66_I (
45406
// Equation(s):
45407
// ide_rd_n1 = \iorq_n~dataout  # \rd_n~dataout  # \iderdeven~0  # !\WideOr1~109 
45408
 
45409
	.dataa(\WideOr1~109 ),
45410
	.datab(iorq_n),
45411
	.datac(rd_n),
45412
	.datad(\iderdeven~0 ),
45413
	.aclr(gnd),
45414
	.aload(gnd),
45415
	.clk(gnd),
45416
	.cin(gnd),
45417
	.cascin(vcc),
45418
	.devclrn(devclrn),
45419
	.devpor(devpor),
45420
	.combout(ide_rd_n1),
45421
	.regout(),
45422
	.cout(),
45423
	.cascout());
45424
// synopsys translate_off
45425
defparam \ide_rd_n~66_I .clock_enable_mode = "false";
45426
defparam \ide_rd_n~66_I .lut_mask = "fffd";
45427
defparam \ide_rd_n~66_I .operation_mode = "normal";
45428
defparam \ide_rd_n~66_I .output_mode = "comb_only";
45429
defparam \ide_rd_n~66_I .packed_mode = "false";
45430
// synopsys translate_on
45431
 
45432
// atom is at LC2_D18
45433
flex10ke_lcell \iowr_reg_fclk[0]~I (
45434
// Equation(s):
45435
// iowr_reg_fclk[0] = DFFEA(!\iorq_n~dataout  & !\wr_n~dataout , GLOBAL(\fclk~dataout ), , , zpos, , )
45436
 
45437
	.dataa(zpos),
45438
	.datab(vcc),
45439
	.datac(iorq_n),
45440
	.datad(wr_n),
45441
	.aclr(gnd),
45442
	.aload(gnd),
45443
	.clk(fclk),
45444
	.cin(gnd),
45445
	.cascin(vcc),
45446
	.devclrn(devclrn),
45447
	.devpor(devpor),
45448
	.combout(),
45449
	.regout(iowr_reg_fclk[0]),
45450
	.cout(),
45451
	.cascout());
45452
// synopsys translate_off
45453
defparam \iowr_reg_fclk[0]~I .clock_enable_mode = "true";
45454
defparam \iowr_reg_fclk[0]~I .lut_mask = "000f";
45455
defparam \iowr_reg_fclk[0]~I .operation_mode = "normal";
45456
defparam \iowr_reg_fclk[0]~I .output_mode = "reg_only";
45457
defparam \iowr_reg_fclk[0]~I .packed_mode = "false";
45458
// synopsys translate_on
45459
 
45460
// atom is at LC4_D18
45461
flex10ke_lcell \iowr_reg_fclk[1]~I (
45462
// Equation(s):
45463
// iowr_reg_fclk[1] = DFFEA(iowr_reg_fclk[0], GLOBAL(\fclk~dataout ), , , , , )
45464
 
45465
	.dataa(vcc),
45466
	.datab(vcc),
45467
	.datac(vcc),
45468
	.datad(iowr_reg_fclk[0]),
45469
	.aclr(gnd),
45470
	.aload(gnd),
45471
	.clk(fclk),
45472
	.cin(gnd),
45473
	.cascin(vcc),
45474
	.devclrn(devclrn),
45475
	.devpor(devpor),
45476
	.combout(),
45477
	.regout(iowr_reg_fclk[1]),
45478
	.cout(),
45479
	.cascout());
45480
// synopsys translate_off
45481
defparam \iowr_reg_fclk[1]~I .clock_enable_mode = "false";
45482
defparam \iowr_reg_fclk[1]~I .lut_mask = "ff00";
45483
defparam \iowr_reg_fclk[1]~I .operation_mode = "normal";
45484
defparam \iowr_reg_fclk[1]~I .output_mode = "reg_only";
45485
defparam \iowr_reg_fclk[1]~I .packed_mode = "false";
45486
// synopsys translate_on
45487
 
45488
// atom is at LC3_D18
45489
flex10ke_lcell \port_wr_fclk~I (
45490
// Equation(s):
45491
// port_wr_fclk = DFFEA(!iowr_reg_fclk[1] & iowr_reg_fclk[0], GLOBAL(\fclk~dataout ), , , , , )
45492
 
45493
	.dataa(vcc),
45494
	.datab(vcc),
45495
	.datac(iowr_reg_fclk[1]),
45496
	.datad(iowr_reg_fclk[0]),
45497
	.aclr(gnd),
45498
	.aload(gnd),
45499
	.clk(fclk),
45500
	.cin(gnd),
45501
	.cascin(vcc),
45502
	.devclrn(devclrn),
45503
	.devpor(devpor),
45504
	.combout(),
45505
	.regout(port_wr_fclk),
45506
	.cout(),
45507
	.cascout());
45508
// synopsys translate_off
45509
defparam \port_wr_fclk~I .clock_enable_mode = "false";
45510
defparam \port_wr_fclk~I .lut_mask = "0f00";
45511
defparam \port_wr_fclk~I .operation_mode = "normal";
45512
defparam \port_wr_fclk~I .output_mode = "reg_only";
45513
defparam \port_wr_fclk~I .packed_mode = "false";
45514
// synopsys translate_on
45515
 
45516
// atom is at LC8_D34
45517
flex10ke_lcell \Equal16~48_I (
45518
// Equation(s):
45519
// \Equal16~48  = \a~dataout [2] & \a~dataout [1] & \a~dataout [0]
45520
 
45521
	.dataa(vcc),
45522
	.datab(a_2),
45523
	.datac(a_1),
45524
	.datad(a_0),
45525
	.aclr(gnd),
45526
	.aload(gnd),
45527
	.clk(gnd),
45528
	.cin(gnd),
45529
	.cascin(vcc),
45530
	.devclrn(devclrn),
45531
	.devpor(devpor),
45532
	.combout(\Equal16~48 ),
45533
	.regout(),
45534
	.cout(),
45535
	.cascout());
45536
// synopsys translate_off
45537
defparam \Equal16~48_I .clock_enable_mode = "false";
45538
defparam \Equal16~48_I .lut_mask = "c000";
45539
defparam \Equal16~48_I .operation_mode = "normal";
45540
defparam \Equal16~48_I .output_mode = "comb_only";
45541
defparam \Equal16~48_I .packed_mode = "false";
45542
// synopsys translate_on
45543
 
45544
// atom is at LC1_D24
45545
flex10ke_lcell \Equal15~33_I (
45546
// Equation(s):
45547
// \Equal15~33  = \Equal16~48  & \a~dataout [4] & \a~dataout [3]
45548
 
45549
	.dataa(vcc),
45550
	.datab(\Equal16~48 ),
45551
	.datac(a_4),
45552
	.datad(a_3),
45553
	.aclr(gnd),
45554
	.aload(gnd),
45555
	.clk(gnd),
45556
	.cin(gnd),
45557
	.cascin(vcc),
45558
	.devclrn(devclrn),
45559
	.devpor(devpor),
45560
	.combout(\Equal15~33 ),
45561
	.regout(),
45562
	.cout(),
45563
	.cascout());
45564
// synopsys translate_off
45565
defparam \Equal15~33_I .clock_enable_mode = "false";
45566
defparam \Equal15~33_I .lut_mask = "c000";
45567
defparam \Equal15~33_I .operation_mode = "normal";
45568
defparam \Equal15~33_I .output_mode = "comb_only";
45569
defparam \Equal15~33_I .packed_mode = "false";
45570
// synopsys translate_on
45571
 
45572
// atom is at LC8_D24
45573
flex10ke_lcell \Equal15~34_I (
45574
// Equation(s):
45575
// \Equal15~34  = !\a~dataout [6] & \a~dataout [7] & \a~dataout [5] & \Equal15~33 
45576
 
45577
	.dataa(a_6),
45578
	.datab(a_7),
45579
	.datac(a_5),
45580
	.datad(\Equal15~33 ),
45581
	.aclr(gnd),
45582
	.aload(gnd),
45583
	.clk(gnd),
45584
	.cin(gnd),
45585
	.cascin(vcc),
45586
	.devclrn(devclrn),
45587
	.devpor(devpor),
45588
	.combout(\Equal15~34 ),
45589
	.regout(),
45590
	.cout(),
45591
	.cascout());
45592
// synopsys translate_off
45593
defparam \Equal15~34_I .clock_enable_mode = "false";
45594
defparam \Equal15~34_I .lut_mask = "4000";
45595
defparam \Equal15~34_I .operation_mode = "normal";
45596
defparam \Equal15~34_I .output_mode = "comb_only";
45597
defparam \Equal15~34_I .packed_mode = "false";
45598
// synopsys translate_on
45599
 
45600
// atom is at LC5_D7
45601
flex10ke_lcell \zxevbf_wr_fclk~I (
45602
// Equation(s):
45603
// zxevbf_wr_fclk = port_wr_fclk & \Equal15~34 
45604
 
45605
	.dataa(vcc),
45606
	.datab(vcc),
45607
	.datac(port_wr_fclk),
45608
	.datad(\Equal15~34 ),
45609
	.aclr(gnd),
45610
	.aload(gnd),
45611
	.clk(gnd),
45612
	.cin(gnd),
45613
	.cascin(vcc),
45614
	.devclrn(devclrn),
45615
	.devpor(devpor),
45616
	.combout(zxevbf_wr_fclk),
45617
	.regout(),
45618
	.cout(),
45619
	.cascout());
45620
// synopsys translate_off
45621
defparam \zxevbf_wr_fclk~I .clock_enable_mode = "false";
45622
defparam \zxevbf_wr_fclk~I .lut_mask = "f000";
45623
defparam \zxevbf_wr_fclk~I .operation_mode = "normal";
45624
defparam \zxevbf_wr_fclk~I .output_mode = "comb_only";
45625
defparam \zxevbf_wr_fclk~I .packed_mode = "false";
45626
// synopsys translate_on
45627
 
45628
// atom is at LC4_H11
45629
flex10ke_lcell \Equal0~44_I (
45630
// Equation(s):
45631
// \Equal0~44  = \a~dataout [7] & \a~dataout [5]
45632
 
45633
	.dataa(vcc),
45634
	.datab(vcc),
45635
	.datac(a_7),
45636
	.datad(a_5),
45637
	.aclr(gnd),
45638
	.aload(gnd),
45639
	.clk(gnd),
45640
	.cin(gnd),
45641
	.cascin(vcc),
45642
	.devclrn(devclrn),
45643
	.devpor(devpor),
45644
	.combout(\Equal0~44 ),
45645
	.regout(),
45646
	.cout(),
45647
	.cascout());
45648
// synopsys translate_off
45649
defparam \Equal0~44_I .clock_enable_mode = "false";
45650
defparam \Equal0~44_I .lut_mask = "f000";
45651
defparam \Equal0~44_I .operation_mode = "normal";
45652
defparam \Equal0~44_I .output_mode = "comb_only";
45653
defparam \Equal0~44_I .packed_mode = "false";
45654
// synopsys translate_on
45655
 
45656
// atom is at LC3_D8
45657
flex10ke_lcell \shadow_en_reg~I (
45658
// Equation(s):
45659
// shadow_en_reg = DFFEA(\d[0]~7 , GLOBAL(\fclk~dataout ), rst_out_n, , zxevbf_wr_fclk, , )
45660
 
45661
	.dataa(zxevbf_wr_fclk),
45662
	.datab(vcc),
45663
	.datac(vcc),
45664
	.datad(d_0),
45665
	.aclr(!rst_n),
45666
	.aload(gnd),
45667
	.clk(fclk),
45668
	.cin(gnd),
45669
	.cascin(vcc),
45670
	.devclrn(devclrn),
45671
	.devpor(devpor),
45672
	.combout(),
45673
	.regout(shadow_en_reg),
45674
	.cout(),
45675
	.cascout());
45676
// synopsys translate_off
45677
defparam \shadow_en_reg~I .clock_enable_mode = "true";
45678
defparam \shadow_en_reg~I .lut_mask = "ff00";
45679
defparam \shadow_en_reg~I .operation_mode = "normal";
45680
defparam \shadow_en_reg~I .output_mode = "reg_only";
45681
defparam \shadow_en_reg~I .packed_mode = "false";
45682
// synopsys translate_on
45683
 
45684
// atom is at LC4_D8
45685
flex10ke_lcell \shadow~I (
45686
// Equation(s):
45687
// shadow = shadow_en_reg # !dos
45688
 
45689
	.dataa(vcc),
45690
	.datab(vcc),
45691
	.datac(dos),
45692
	.datad(shadow_en_reg),
45693
	.aclr(gnd),
45694
	.aload(gnd),
45695
	.clk(gnd),
45696
	.cin(gnd),
45697
	.cascin(vcc),
45698
	.devclrn(devclrn),
45699
	.devpor(devpor),
45700
	.combout(shadow),
45701
	.regout(),
45702
	.cout(),
45703
	.cascout());
45704
// synopsys translate_off
45705
defparam \shadow~I .clock_enable_mode = "false";
45706
defparam \shadow~I .lut_mask = "ff0f";
45707
defparam \shadow~I .operation_mode = "normal";
45708
defparam \shadow~I .output_mode = "comb_only";
45709
defparam \shadow~I .packed_mode = "false";
45710
// synopsys translate_on
45711
 
45712
// atom is at LC2_D24
45713
flex10ke_lcell \Equal20~46_I (
45714
// Equation(s):
45715
// \Equal20~46  = \a~dataout [7] # !\a~dataout [6] & !\a~dataout [5] # !\Equal15~33 
45716
 
45717
	.dataa(\Equal15~33 ),
45718
	.datab(a_6),
45719
	.datac(a_5),
45720
	.datad(a_7),
45721
	.aclr(gnd),
45722
	.aload(gnd),
45723
	.clk(gnd),
45724
	.cin(gnd),
45725
	.cascin(vcc),
45726
	.devclrn(devclrn),
45727
	.devpor(devpor),
45728
	.combout(\Equal20~46 ),
45729
	.regout(),
45730
	.cout(),
45731
	.cascout());
45732
// synopsys translate_off
45733
defparam \Equal20~46_I .clock_enable_mode = "false";
45734
defparam \Equal20~46_I .lut_mask = "ff57";
45735
defparam \Equal20~46_I .operation_mode = "normal";
45736
defparam \Equal20~46_I .output_mode = "comb_only";
45737
defparam \Equal20~46_I .packed_mode = "false";
45738
// synopsys translate_on
45739
 
45740
// atom is at LC7_D26
45741
flex10ke_lcell \Equal19~29_I (
45742
// Equation(s):
45743
// \Equal19~29  = !\a~dataout [7] & !\a~dataout [5] & !\a~dataout [6]
45744
 
45745
	.dataa(vcc),
45746
	.datab(a_7),
45747
	.datac(a_5),
45748
	.datad(a_6),
45749
	.aclr(gnd),
45750
	.aload(gnd),
45751
	.clk(gnd),
45752
	.cin(gnd),
45753
	.cascin(vcc),
45754
	.devclrn(devclrn),
45755
	.devpor(devpor),
45756
	.combout(\Equal19~29 ),
45757
	.regout(),
45758
	.cout(),
45759
	.cascout());
45760
// synopsys translate_off
45761
defparam \Equal19~29_I .clock_enable_mode = "false";
45762
defparam \Equal19~29_I .lut_mask = "0003";
45763
defparam \Equal19~29_I .operation_mode = "normal";
45764
defparam \Equal19~29_I .output_mode = "comb_only";
45765
defparam \Equal19~29_I .packed_mode = "false";
45766
// synopsys translate_on
45767
 
45768
// atom is at LC6_D31
45769
flex10ke_lcell \Equal9~56_I (
45770
// Equation(s):
45771
// \Equal9~56  = !\a~dataout [1] & !\a~dataout [0] & !\a~dataout [2]
45772
 
45773
	.dataa(vcc),
45774
	.datab(a_1),
45775
	.datac(a_0),
45776
	.datad(a_2),
45777
	.aclr(gnd),
45778
	.aload(gnd),
45779
	.clk(gnd),
45780
	.cin(gnd),
45781
	.cascin(vcc),
45782
	.devclrn(devclrn),
45783
	.devpor(devpor),
45784
	.combout(\Equal9~56 ),
45785
	.regout(),
45786
	.cout(),
45787
	.cascout());
45788
// synopsys translate_off
45789
defparam \Equal9~56_I .clock_enable_mode = "false";
45790
defparam \Equal9~56_I .lut_mask = "0003";
45791
defparam \Equal9~56_I .operation_mode = "normal";
45792
defparam \Equal9~56_I .output_mode = "comb_only";
45793
defparam \Equal9~56_I .packed_mode = "false";
45794
// synopsys translate_on
45795
 
45796
// atom is at LC7_D22
45797
flex10ke_lcell \porthit~590_I (
45798
// Equation(s):
45799
// \porthit~590  = !\Equal19~29  & !\a~dataout [3] & \Equal9~56 
45800
 
45801
	.dataa(vcc),
45802
	.datab(\Equal19~29 ),
45803
	.datac(a_3),
45804
	.datad(\Equal9~56 ),
45805
	.aclr(gnd),
45806
	.aload(gnd),
45807
	.clk(gnd),
45808
	.cin(gnd),
45809
	.cascin(vcc),
45810
	.devclrn(devclrn),
45811
	.devpor(devpor),
45812
	.combout(\porthit~590 ),
45813
	.regout(),
45814
	.cout(),
45815
	.cascout());
45816
// synopsys translate_off
45817
defparam \porthit~590_I .clock_enable_mode = "false";
45818
defparam \porthit~590_I .lut_mask = "0300";
45819
defparam \porthit~590_I .operation_mode = "normal";
45820
defparam \porthit~590_I .output_mode = "comb_only";
45821
defparam \porthit~590_I .packed_mode = "false";
45822
// synopsys translate_on
45823
 
45824
// atom is at LC1_D26
45825
flex10ke_lcell \Equal9~57_I (
45826
// Equation(s):
45827
// \Equal9~57  = !\a~dataout [5] & \a~dataout [6] & \a~dataout [3] & \a~dataout [7]
45828
 
45829
	.dataa(a_5),
45830
	.datab(a_6),
45831
	.datac(a_3),
45832
	.datad(a_7),
45833
	.aclr(gnd),
45834
	.aload(gnd),
45835
	.clk(gnd),
45836
	.cin(gnd),
45837
	.cascin(vcc),
45838
	.devclrn(devclrn),
45839
	.devpor(devpor),
45840
	.combout(\Equal9~57 ),
45841
	.regout(),
45842
	.cout(),
45843
	.cascout());
45844
// synopsys translate_off
45845
defparam \Equal9~57_I .clock_enable_mode = "false";
45846
defparam \Equal9~57_I .lut_mask = "4000";
45847
defparam \Equal9~57_I .operation_mode = "normal";
45848
defparam \Equal9~57_I .output_mode = "comb_only";
45849
defparam \Equal9~57_I .packed_mode = "false";
45850
// synopsys translate_on
45851
 
45852
// atom is at LC2_D26
45853
flex10ke_lcell \Equal12~39_I (
45854
// Equation(s):
45855
// \Equal12~39  = !\a~dataout [3] & \a~dataout [6] & \Equal16~48  & \a~dataout [4]
45856
 
45857
	.dataa(a_3),
45858
	.datab(a_6),
45859
	.datac(\Equal16~48 ),
45860
	.datad(a_4),
45861
	.aclr(gnd),
45862
	.aload(gnd),
45863
	.clk(gnd),
45864
	.cin(gnd),
45865
	.cascin(vcc),
45866
	.devclrn(devclrn),
45867
	.devpor(devpor),
45868
	.combout(\Equal12~39 ),
45869
	.regout(),
45870
	.cout(),
45871
	.cascout());
45872
// synopsys translate_off
45873
defparam \Equal12~39_I .clock_enable_mode = "false";
45874
defparam \Equal12~39_I .lut_mask = "4000";
45875
defparam \Equal12~39_I .operation_mode = "normal";
45876
defparam \Equal12~39_I .output_mode = "comb_only";
45877
defparam \Equal12~39_I .packed_mode = "false";
45878
// synopsys translate_on
45879
 
45880
// atom is at LC8_D26
45881
flex10ke_lcell \Equal13~23_I (
45882
// Equation(s):
45883
// \Equal13~23  = \a~dataout [7] & \a~dataout [5] & \Equal12~39 
45884
 
45885
	.dataa(vcc),
45886
	.datab(a_7),
45887
	.datac(a_5),
45888
	.datad(\Equal12~39 ),
45889
	.aclr(gnd),
45890
	.aload(gnd),
45891
	.clk(gnd),
45892
	.cin(gnd),
45893
	.cascin(vcc),
45894
	.devclrn(devclrn),
45895
	.devpor(devpor),
45896
	.combout(\Equal13~23 ),
45897
	.regout(),
45898
	.cout(),
45899
	.cascout());
45900
// synopsys translate_off
45901
defparam \Equal13~23_I .clock_enable_mode = "false";
45902
defparam \Equal13~23_I .lut_mask = "c000";
45903
defparam \Equal13~23_I .operation_mode = "normal";
45904
defparam \Equal13~23_I .output_mode = "comb_only";
45905
defparam \Equal13~23_I .packed_mode = "false";
45906
// synopsys translate_on
45907
 
45908
// atom is at LC3_D26
45909
flex10ke_lcell \Equal14~22_I (
45910
// Equation(s):
45911
// \Equal14~22  = !\a~dataout [7] & \Equal12~39  & \a~dataout [5]
45912
 
45913
	.dataa(vcc),
45914
	.datab(a_7),
45915
	.datac(\Equal12~39 ),
45916
	.datad(a_5),
45917
	.aclr(gnd),
45918
	.aload(gnd),
45919
	.clk(gnd),
45920
	.cin(gnd),
45921
	.cascin(vcc),
45922
	.devclrn(devclrn),
45923
	.devpor(devpor),
45924
	.combout(\Equal14~22 ),
45925
	.regout(),
45926
	.cout(),
45927
	.cascout());
45928
// synopsys translate_off
45929
defparam \Equal14~22_I .clock_enable_mode = "false";
45930
defparam \Equal14~22_I .lut_mask = "3000";
45931
defparam \Equal14~22_I .operation_mode = "normal";
45932
defparam \Equal14~22_I .output_mode = "comb_only";
45933
defparam \Equal14~22_I .packed_mode = "false";
45934
// synopsys translate_on
45935
 
45936
// atom is at LC7_F6
45937
flex10ke_lcell \Equal0~46_I (
45938
// Equation(s):
45939
// \Equal0~46  = \a~dataout [2] & \a~dataout [1]
45940
 
45941
	.dataa(vcc),
45942
	.datab(vcc),
45943
	.datac(a_2),
45944
	.datad(a_1),
45945
	.aclr(gnd),
45946
	.aload(gnd),
45947
	.clk(gnd),
45948
	.cin(gnd),
45949
	.cascin(vcc),
45950
	.devclrn(devclrn),
45951
	.devpor(devpor),
45952
	.combout(\Equal0~46 ),
45953
	.regout(),
45954
	.cout(),
45955
	.cascout());
45956
// synopsys translate_off
45957
defparam \Equal0~46_I .clock_enable_mode = "false";
45958
defparam \Equal0~46_I .lut_mask = "f000";
45959
defparam \Equal0~46_I .operation_mode = "normal";
45960
defparam \Equal0~46_I .output_mode = "comb_only";
45961
defparam \Equal0~46_I .packed_mode = "false";
45962
// synopsys translate_on
45963
 
45964
// atom is at LC2_H25
45965
flex10ke_lcell \Equal0~45_I (
45966
// Equation(s):
45967
// \Equal0~45  = \Equal0~44  & \a~dataout [6] & \a~dataout [3] & \a~dataout [4]
45968
 
45969
	.dataa(\Equal0~44 ),
45970
	.datab(a_6),
45971
	.datac(a_3),
45972
	.datad(a_4),
45973
	.aclr(gnd),
45974
	.aload(gnd),
45975
	.clk(gnd),
45976
	.cin(gnd),
45977
	.cascin(vcc),
45978
	.devclrn(devclrn),
45979
	.devpor(devpor),
45980
	.combout(\Equal0~45 ),
45981
	.regout(),
45982
	.cout(),
45983
	.cascout());
45984
// synopsys translate_off
45985
defparam \Equal0~45_I .clock_enable_mode = "false";
45986
defparam \Equal0~45_I .lut_mask = "8000";
45987
defparam \Equal0~45_I .operation_mode = "normal";
45988
defparam \Equal0~45_I .output_mode = "comb_only";
45989
defparam \Equal0~45_I .packed_mode = "false";
45990
// synopsys translate_on
45991
 
45992
// atom is at LC2_F6
45993
flex10ke_lcell \Equal17~39_I (
45994
// Equation(s):
45995
// \Equal17~39  = !\a~dataout [1] & \a~dataout [2] & \a~dataout [0] & \Equal0~45 
45996
 
45997
	.dataa(a_1),
45998
	.datab(a_2),
45999
	.datac(a_0),
46000
	.datad(\Equal0~45 ),
46001
	.aclr(gnd),
46002
	.aload(gnd),
46003
	.clk(gnd),
46004
	.cin(gnd),
46005
	.cascin(vcc),
46006
	.devclrn(devclrn),
46007
	.devpor(devpor),
46008
	.combout(\Equal17~39 ),
46009
	.regout(),
46010
	.cout(),
46011
	.cascout());
46012
// synopsys translate_off
46013
defparam \Equal17~39_I .clock_enable_mode = "false";
46014
defparam \Equal17~39_I .lut_mask = "4000";
46015
defparam \Equal17~39_I .operation_mode = "normal";
46016
defparam \Equal17~39_I .output_mode = "comb_only";
46017
defparam \Equal17~39_I .packed_mode = "false";
46018
// synopsys translate_on
46019
 
46020
// atom is at LC5_F6
46021
flex10ke_lcell \porthit~588_I (
46022
// Equation(s):
46023
// \porthit~588  = \Equal17~39  # !\a~dataout [0] & \Equal0~46  & \Equal0~45 
46024
 
46025
	.dataa(a_0),
46026
	.datab(\Equal0~46 ),
46027
	.datac(\Equal0~45 ),
46028
	.datad(\Equal17~39 ),
46029
	.aclr(gnd),
46030
	.aload(gnd),
46031
	.clk(gnd),
46032
	.cin(gnd),
46033
	.cascin(vcc),
46034
	.devclrn(devclrn),
46035
	.devpor(devpor),
46036
	.combout(\porthit~588 ),
46037
	.regout(),
46038
	.cout(),
46039
	.cascout());
46040
// synopsys translate_off
46041
defparam \porthit~588_I .clock_enable_mode = "false";
46042
defparam \porthit~588_I .lut_mask = "ff40";
46043
defparam \porthit~588_I .operation_mode = "normal";
46044
defparam \porthit~588_I .output_mode = "comb_only";
46045
defparam \porthit~588_I .packed_mode = "false";
46046
// synopsys translate_on
46047
 
46048
// atom is at LC5_D22
46049
flex10ke_lcell \porthit~589_I (
46050
// Equation(s):
46051
// \porthit~599  = !\Equal12~40  & !\Equal13~23  & !\Equal14~22  & !\porthit~588 
46052
 
46053
	.dataa(\Equal12~40 ),
46054
	.datab(\Equal13~23 ),
46055
	.datac(\Equal14~22 ),
46056
	.datad(\porthit~588 ),
46057
	.aclr(gnd),
46058
	.aload(gnd),
46059
	.clk(gnd),
46060
	.cin(gnd),
46061
	.cascin(vcc),
46062
	.devclrn(devclrn),
46063
	.devpor(devpor),
46064
	.combout(\porthit~589 ),
46065
	.regout(),
46066
	.cout(),
46067
	.cascout(\porthit~599 ));
46068
// synopsys translate_off
46069
defparam \porthit~589_I .clock_enable_mode = "false";
46070
defparam \porthit~589_I .lut_mask = "0001";
46071
defparam \porthit~589_I .operation_mode = "normal";
46072
defparam \porthit~589_I .output_mode = "none";
46073
defparam \porthit~589_I .packed_mode = "false";
46074
// synopsys translate_on
46075
 
46076
// atom is at LC6_D22
46077
flex10ke_lcell \porthit~592_I (
46078
// Equation(s):
46079
// \porthit~592  = (!\porthit~590  & (!\Equal16~48  # !\Equal9~57 ) # !\a~dataout [4]) & CASCADE(\porthit~599 )
46080
 
46081
	.dataa(a_4),
46082
	.datab(\porthit~590 ),
46083
	.datac(\Equal9~57 ),
46084
	.datad(\Equal16~48 ),
46085
	.aclr(gnd),
46086
	.aload(gnd),
46087
	.clk(gnd),
46088
	.cin(gnd),
46089
	.cascin(\porthit~599 ),
46090
	.devclrn(devclrn),
46091
	.devpor(devpor),
46092
	.combout(\porthit~592 ),
46093
	.regout(),
46094
	.cout(),
46095
	.cascout());
46096
// synopsys translate_off
46097
defparam \porthit~592_I .clock_enable_mode = "false";
46098
defparam \porthit~592_I .lut_mask = "5777";
46099
defparam \porthit~592_I .operation_mode = "normal";
46100
defparam \porthit~592_I .output_mode = "comb_only";
46101
defparam \porthit~592_I .packed_mode = "false";
46102
// synopsys translate_on
46103
 
46104
// atom is at LC2_D22
46105
flex10ke_lcell \Equal9~58_I (
46106
// Equation(s):
46107
// \Equal9~58  = !\a~dataout [4] & \Equal9~57  & \Equal9~56 
46108
 
46109
	.dataa(vcc),
46110
	.datab(a_4),
46111
	.datac(\Equal9~57 ),
46112
	.datad(\Equal9~56 ),
46113
	.aclr(gnd),
46114
	.aload(gnd),
46115
	.clk(gnd),
46116
	.cin(gnd),
46117
	.cascin(vcc),
46118
	.devclrn(devclrn),
46119
	.devpor(devpor),
46120
	.combout(\Equal9~58 ),
46121
	.regout(),
46122
	.cout(),
46123
	.cascout());
46124
// synopsys translate_off
46125
defparam \Equal9~58_I .clock_enable_mode = "false";
46126
defparam \Equal9~58_I .lut_mask = "3000";
46127
defparam \Equal9~58_I .operation_mode = "normal";
46128
defparam \Equal9~58_I .output_mode = "comb_only";
46129
defparam \Equal9~58_I .packed_mode = "false";
46130
// synopsys translate_on
46131
 
46132
// atom is at LC3_H25
46133
flex10ke_lcell \Equal16~50_I (
46134
// Equation(s):
46135
// \Equal16~50  = \Equal16~49  & \Equal0~44  & \a~dataout [6] & \Equal16~48 
46136
 
46137
	.dataa(\Equal16~49 ),
46138
	.datab(\Equal0~44 ),
46139
	.datac(a_6),
46140
	.datad(\Equal16~48 ),
46141
	.aclr(gnd),
46142
	.aload(gnd),
46143
	.clk(gnd),
46144
	.cin(gnd),
46145
	.cascin(vcc),
46146
	.devclrn(devclrn),
46147
	.devpor(devpor),
46148
	.combout(\Equal16~50 ),
46149
	.regout(),
46150
	.cout(),
46151
	.cascout());
46152
// synopsys translate_off
46153
defparam \Equal16~50_I .clock_enable_mode = "false";
46154
defparam \Equal16~50_I .lut_mask = "8000";
46155
defparam \Equal16~50_I .operation_mode = "normal";
46156
defparam \Equal16~50_I .output_mode = "comb_only";
46157
defparam \Equal16~50_I .packed_mode = "false";
46158
// synopsys translate_on
46159
 
46160
// atom is at LC3_D31
46161
flex10ke_lcell \Equal23~24_I (
46162
// Equation(s):
46163
// \Equal23~24  = !\a~dataout [3] & \a~dataout [4] & \Equal19~29  & \Equal9~56 
46164
 
46165
	.dataa(a_3),
46166
	.datab(a_4),
46167
	.datac(\Equal19~29 ),
46168
	.datad(\Equal9~56 ),
46169
	.aclr(gnd),
46170
	.aload(gnd),
46171
	.clk(gnd),
46172
	.cin(gnd),
46173
	.cascin(vcc),
46174
	.devclrn(devclrn),
46175
	.devpor(devpor),
46176
	.combout(\Equal23~24 ),
46177
	.regout(),
46178
	.cout(),
46179
	.cascout());
46180
// synopsys translate_off
46181
defparam \Equal23~24_I .clock_enable_mode = "false";
46182
defparam \Equal23~24_I .lut_mask = "4000";
46183
defparam \Equal23~24_I .operation_mode = "normal";
46184
defparam \Equal23~24_I .output_mode = "comb_only";
46185
defparam \Equal23~24_I .packed_mode = "false";
46186
// synopsys translate_on
46187
 
46188
// atom is at LC5_D31
46189
flex10ke_lcell \Equal19~30_I (
46190
// Equation(s):
46191
// \Equal19~30  = \Equal16~48  & \a~dataout [4] & \a~dataout [3] & \Equal19~29 
46192
 
46193
	.dataa(\Equal16~48 ),
46194
	.datab(a_4),
46195
	.datac(a_3),
46196
	.datad(\Equal19~29 ),
46197
	.aclr(gnd),
46198
	.aload(gnd),
46199
	.clk(gnd),
46200
	.cin(gnd),
46201
	.cascin(vcc),
46202
	.devclrn(devclrn),
46203
	.devpor(devpor),
46204
	.combout(\Equal19~30 ),
46205
	.regout(),
46206
	.cout(),
46207
	.cascout());
46208
// synopsys translate_off
46209
defparam \Equal19~30_I .clock_enable_mode = "false";
46210
defparam \Equal19~30_I .lut_mask = "8000";
46211
defparam \Equal19~30_I .operation_mode = "normal";
46212
defparam \Equal19~30_I .output_mode = "comb_only";
46213
defparam \Equal19~30_I .packed_mode = "false";
46214
// synopsys translate_on
46215
 
46216
// atom is at LC1_D31
46217
flex10ke_lcell \porthit~581_I (
46218
// Equation(s):
46219
// \porthit~581  = \Equal1~41  # \Equal23~24  # \Equal15~34  # \Equal19~30 
46220
 
46221
	.dataa(\Equal1~41 ),
46222
	.datab(\Equal23~24 ),
46223
	.datac(\Equal15~34 ),
46224
	.datad(\Equal19~30 ),
46225
	.aclr(gnd),
46226
	.aload(gnd),
46227
	.clk(gnd),
46228
	.cin(gnd),
46229
	.cascin(vcc),
46230
	.devclrn(devclrn),
46231
	.devpor(devpor),
46232
	.combout(\porthit~581 ),
46233
	.regout(),
46234
	.cout(),
46235
	.cascout());
46236
// synopsys translate_off
46237
defparam \porthit~581_I .clock_enable_mode = "false";
46238
defparam \porthit~581_I .lut_mask = "fffe";
46239
defparam \porthit~581_I .operation_mode = "normal";
46240
defparam \porthit~581_I .output_mode = "comb_only";
46241
defparam \porthit~581_I .packed_mode = "false";
46242
// synopsys translate_on
46243
 
46244
// atom is at LC1_D22
46245
flex10ke_lcell \porthit~583_I (
46246
// Equation(s):
46247
// \porthit~583  = \Equal9~58  # \Equal16~50  # \porthit~581  # !\porthit~592 
46248
 
46249
	.dataa(\porthit~592 ),
46250
	.datab(\Equal9~58 ),
46251
	.datac(\Equal16~50 ),
46252
	.datad(\porthit~581 ),
46253
	.aclr(gnd),
46254
	.aload(gnd),
46255
	.clk(gnd),
46256
	.cin(gnd),
46257
	.cascin(vcc),
46258
	.devclrn(devclrn),
46259
	.devpor(devpor),
46260
	.combout(\porthit~583 ),
46261
	.regout(),
46262
	.cout(),
46263
	.cascout());
46264
// synopsys translate_off
46265
defparam \porthit~583_I .clock_enable_mode = "false";
46266
defparam \porthit~583_I .lut_mask = "fffd";
46267
defparam \porthit~583_I .operation_mode = "normal";
46268
defparam \porthit~583_I .output_mode = "comb_only";
46269
defparam \porthit~583_I .packed_mode = "false";
46270
// synopsys translate_on
46271
 
46272
// atom is at LC6_D18
46273
flex10ke_lcell \vg_cs_n~27_I (
46274
// Equation(s):
46275
// \vg_cs_n~27  = !\iorq_n~dataout  & (!\rd_n~dataout  # !\wr_n~dataout )
46276
 
46277
	.dataa(vcc),
46278
	.datab(iorq_n),
46279
	.datac(wr_n),
46280
	.datad(rd_n),
46281
	.aclr(gnd),
46282
	.aload(gnd),
46283
	.clk(gnd),
46284
	.cin(gnd),
46285
	.cascin(vcc),
46286
	.devclrn(devclrn),
46287
	.devpor(devpor),
46288
	.combout(\vg_cs_n~27 ),
46289
	.regout(),
46290
	.cout(),
46291
	.cascout());
46292
// synopsys translate_off
46293
defparam \vg_cs_n~27_I .clock_enable_mode = "false";
46294
defparam \vg_cs_n~27_I .lut_mask = "0333";
46295
defparam \vg_cs_n~27_I .operation_mode = "normal";
46296
defparam \vg_cs_n~27_I .output_mode = "comb_only";
46297
defparam \vg_cs_n~27_I .packed_mode = "false";
46298
// synopsys translate_on
46299
 
46300
// atom is at LC1_F6
46301
flex10ke_lcell \portfe_wr~I (
46302
// Equation(s):
46303
// portfe_wr = !\a~dataout [0] & port_wr & \Equal0~46  & \Equal0~45 
46304
 
46305
	.dataa(a_0),
46306
	.datab(port_wr),
46307
	.datac(\Equal0~46 ),
46308
	.datad(\Equal0~45 ),
46309
	.aclr(gnd),
46310
	.aload(gnd),
46311
	.clk(gnd),
46312
	.cin(gnd),
46313
	.cascin(vcc),
46314
	.devclrn(devclrn),
46315
	.devpor(devpor),
46316
	.combout(portfe_wr),
46317
	.regout(),
46318
	.cout(),
46319
	.cascout());
46320
// synopsys translate_off
46321
defparam \portfe_wr~I .clock_enable_mode = "false";
46322
defparam \portfe_wr~I .lut_mask = "4000";
46323
defparam \portfe_wr~I .operation_mode = "normal";
46324
defparam \portfe_wr~I .output_mode = "comb_only";
46325
defparam \portfe_wr~I .packed_mode = "false";
46326
// synopsys translate_on
46327
 
46328
// atom is at LC3_D22
46329
flex10ke_lcell \WideOr1~109_I (
46330
// Equation(s):
46331
// \WideOr1~109  = \Equal9~56  & (\a~dataout [4] & !\a~dataout [3] # !\a~dataout [4] & (\Equal9~57 ))
46332
 
46333
	.dataa(a_3),
46334
	.datab(a_4),
46335
	.datac(\Equal9~57 ),
46336
	.datad(\Equal9~56 ),
46337
	.aclr(gnd),
46338
	.aload(gnd),
46339
	.clk(gnd),
46340
	.cin(gnd),
46341
	.cascin(vcc),
46342
	.devclrn(devclrn),
46343
	.devpor(devpor),
46344
	.combout(\WideOr1~109 ),
46345
	.regout(),
46346
	.cout(),
46347
	.cascout());
46348
// synopsys translate_off
46349
defparam \WideOr1~109_I .clock_enable_mode = "false";
46350
defparam \WideOr1~109_I .lut_mask = "7400";
46351
defparam \WideOr1~109_I .operation_mode = "normal";
46352
defparam \WideOr1~109_I .output_mode = "comb_only";
46353
defparam \WideOr1~109_I .packed_mode = "false";
46354
// synopsys translate_on
46355
 
46356
// atom is at LC8_D4
46357
flex10ke_lcell \iord_reg~I (
46358
// Equation(s):
46359
// iord_reg = DFFEA(!\iorq_n~dataout  & !\rd_n~dataout , GLOBAL(\clkz_in~dataout ), , , , , )
46360
 
46361
	.dataa(vcc),
46362
	.datab(vcc),
46363
	.datac(iorq_n),
46364
	.datad(rd_n),
46365
	.aclr(gnd),
46366
	.aload(gnd),
46367
	.clk(zclk),
46368
	.cin(gnd),
46369
	.cascin(vcc),
46370
	.devclrn(devclrn),
46371
	.devpor(devpor),
46372
	.combout(),
46373
	.regout(iord_reg),
46374
	.cout(),
46375
	.cascout());
46376
// synopsys translate_off
46377
defparam \iord_reg~I .clock_enable_mode = "false";
46378
defparam \iord_reg~I .lut_mask = "000f";
46379
defparam \iord_reg~I .operation_mode = "normal";
46380
defparam \iord_reg~I .output_mode = "reg_only";
46381
defparam \iord_reg~I .packed_mode = "false";
46382
// synopsys translate_on
46383
 
46384
// atom is at LC5_D4
46385
flex10ke_lcell \port_rd~I (
46386
// Equation(s):
46387
// port_rd = DFFEA(!iord_reg & !\iorq_n~dataout  & !\rd_n~dataout , GLOBAL(\clkz_in~dataout ), , , , , )
46388
 
46389
	.dataa(vcc),
46390
	.datab(iord_reg),
46391
	.datac(iorq_n),
46392
	.datad(rd_n),
46393
	.aclr(gnd),
46394
	.aload(gnd),
46395
	.clk(zclk),
46396
	.cin(gnd),
46397
	.cascin(vcc),
46398
	.devclrn(devclrn),
46399
	.devpor(devpor),
46400
	.combout(),
46401
	.regout(port_rd),
46402
	.cout(),
46403
	.cascout());
46404
// synopsys translate_off
46405
defparam \port_rd~I .clock_enable_mode = "false";
46406
defparam \port_rd~I .lut_mask = "0003";
46407
defparam \port_rd~I .operation_mode = "normal";
46408
defparam \port_rd~I .output_mode = "reg_only";
46409
defparam \port_rd~I .packed_mode = "false";
46410
// synopsys translate_on
46411
 
46412
// atom is at LC4_D4
46413
flex10ke_lcell \ide_rd_trig~I (
46414
// Equation(s):
46415
// ide_rd_trig = DFFEA(ide_rd_trig & !\always9~80  # !ide_rd_trig & (port_rd & \Equal23~24 ), GLOBAL(\clkz_in~dataout ), , , , , )
46416
 
46417
	.dataa(\always9~80 ),
46418
	.datab(ide_rd_trig),
46419
	.datac(port_rd),
46420
	.datad(\Equal23~24 ),
46421
	.aclr(gnd),
46422
	.aload(gnd),
46423
	.clk(zclk),
46424
	.cin(gnd),
46425
	.cascin(vcc),
46426
	.devclrn(devclrn),
46427
	.devpor(devpor),
46428
	.combout(),
46429
	.regout(ide_rd_trig),
46430
	.cout(),
46431
	.cascout());
46432
// synopsys translate_off
46433
defparam \ide_rd_trig~I .clock_enable_mode = "false";
46434
defparam \ide_rd_trig~I .lut_mask = "7444";
46435
defparam \ide_rd_trig~I .operation_mode = "normal";
46436
defparam \ide_rd_trig~I .output_mode = "reg_only";
46437
defparam \ide_rd_trig~I .packed_mode = "false";
46438
// synopsys translate_on
46439
 
46440
// atom is at LC6_D4
46441
flex10ke_lcell \ide_rd_latch~I (
46442
// Equation(s):
46443
// ide_rd_latch = \rd_n~dataout  & (ide_rd_trig) # !\rd_n~dataout  & ide_rd_latch
46444
 
46445
	.dataa(vcc),
46446
	.datab(rd_n),
46447
	.datac(ide_rd_latch),
46448
	.datad(ide_rd_trig),
46449
	.aclr(gnd),
46450
	.aload(gnd),
46451
	.clk(gnd),
46452
	.cin(gnd),
46453
	.cascin(vcc),
46454
	.devclrn(devclrn),
46455
	.devpor(devpor),
46456
	.combout(ide_rd_latch),
46457
	.regout(),
46458
	.cout(),
46459
	.cascout());
46460
// synopsys translate_off
46461
defparam \ide_rd_latch~I .clock_enable_mode = "false";
46462
defparam \ide_rd_latch~I .lut_mask = "fc30";
46463
defparam \ide_rd_latch~I .operation_mode = "normal";
46464
defparam \ide_rd_latch~I .output_mode = "comb_only";
46465
defparam \ide_rd_latch~I .packed_mode = "false";
46466
// synopsys translate_on
46467
 
46468
// atom is at LC3_D4
46469
flex10ke_lcell \iderdeven~0_I (
46470
// Equation(s):
46471
// \iderdeven~0  = ide_rd_latch & \Equal23~24 
46472
 
46473
	.dataa(vcc),
46474
	.datab(vcc),
46475
	.datac(ide_rd_latch),
46476
	.datad(\Equal23~24 ),
46477
	.aclr(gnd),
46478
	.aload(gnd),
46479
	.clk(gnd),
46480
	.cin(gnd),
46481
	.cascin(vcc),
46482
	.devclrn(devclrn),
46483
	.devpor(devpor),
46484
	.combout(\iderdeven~0 ),
46485
	.regout(),
46486
	.cout(),
46487
	.cascout());
46488
// synopsys translate_off
46489
defparam \iderdeven~0_I .clock_enable_mode = "false";
46490
defparam \iderdeven~0_I .lut_mask = "f000";
46491
defparam \iderdeven~0_I .operation_mode = "normal";
46492
defparam \iderdeven~0_I .output_mode = "comb_only";
46493
defparam \iderdeven~0_I .packed_mode = "false";
46494
// synopsys translate_on
46495
 
46496
// atom is at LC2_D31
46497
flex10ke_lcell \Equal1~40_I (
46498
// Equation(s):
46499
// \Equal1~40  = !\a~dataout [3] & \a~dataout [4] & \Equal19~29 
46500
 
46501
	.dataa(vcc),
46502
	.datab(a_3),
46503
	.datac(a_4),
46504
	.datad(\Equal19~29 ),
46505
	.aclr(gnd),
46506
	.aload(gnd),
46507
	.clk(gnd),
46508
	.cin(gnd),
46509
	.cascin(vcc),
46510
	.devclrn(devclrn),
46511
	.devpor(devpor),
46512
	.combout(\Equal1~40 ),
46513
	.regout(),
46514
	.cout(),
46515
	.cascout());
46516
// synopsys translate_off
46517
defparam \Equal1~40_I .clock_enable_mode = "false";
46518
defparam \Equal1~40_I .lut_mask = "3000";
46519
defparam \Equal1~40_I .operation_mode = "normal";
46520
defparam \Equal1~40_I .output_mode = "comb_only";
46521
defparam \Equal1~40_I .packed_mode = "false";
46522
// synopsys translate_on
46523
 
46524
// atom is at LC8_D31
46525
flex10ke_lcell \Equal1~41_I (
46526
// Equation(s):
46527
// \Equal1~41  = !\a~dataout [2] & !\a~dataout [1] & \a~dataout [0] & \Equal1~40 
46528
 
46529
	.dataa(a_2),
46530
	.datab(a_1),
46531
	.datac(a_0),
46532
	.datad(\Equal1~40 ),
46533
	.aclr(gnd),
46534
	.aload(gnd),
46535
	.clk(gnd),
46536
	.cin(gnd),
46537
	.cascin(vcc),
46538
	.devclrn(devclrn),
46539
	.devpor(devpor),
46540
	.combout(\Equal1~41 ),
46541
	.regout(),
46542
	.cout(),
46543
	.cascout());
46544
// synopsys translate_off
46545
defparam \Equal1~41_I .clock_enable_mode = "false";
46546
defparam \Equal1~41_I .lut_mask = "1000";
46547
defparam \Equal1~41_I .operation_mode = "normal";
46548
defparam \Equal1~41_I .output_mode = "comb_only";
46549
defparam \Equal1~41_I .packed_mode = "false";
46550
// synopsys translate_on
46551
 
46552
// atom is at LC6_D5
46553
flex10ke_lcell \ide_wrhi_trig~I (
46554
// Equation(s):
46555
// ide_wrhi_trig = DFFEA(\Equal1~41  & port_wr, GLOBAL(\clkz_in~dataout ), , , \always9~80 , , )
46556
 
46557
	.dataa(\always9~80 ),
46558
	.datab(vcc),
46559
	.datac(\Equal1~41 ),
46560
	.datad(port_wr),
46561
	.aclr(gnd),
46562
	.aload(gnd),
46563
	.clk(zclk),
46564
	.cin(gnd),
46565
	.cascin(vcc),
46566
	.devclrn(devclrn),
46567
	.devpor(devpor),
46568
	.combout(),
46569
	.regout(ide_wrhi_trig),
46570
	.cout(),
46571
	.cascout());
46572
// synopsys translate_off
46573
defparam \ide_wrhi_trig~I .clock_enable_mode = "true";
46574
defparam \ide_wrhi_trig~I .lut_mask = "f000";
46575
defparam \ide_wrhi_trig~I .operation_mode = "normal";
46576
defparam \ide_wrhi_trig~I .output_mode = "reg_only";
46577
defparam \ide_wrhi_trig~I .packed_mode = "false";
46578
// synopsys translate_on
46579
 
46580
// atom is at LC5_D20
46581
flex10ke_lcell \always11~20_I (
46582
// Equation(s):
46583
// \always11~20  = !ide_wrlo_trig & port_wr & \Equal23~24 
46584
 
46585
	.dataa(vcc),
46586
	.datab(ide_wrlo_trig),
46587
	.datac(port_wr),
46588
	.datad(\Equal23~24 ),
46589
	.aclr(gnd),
46590
	.aload(gnd),
46591
	.clk(gnd),
46592
	.cin(gnd),
46593
	.cascin(vcc),
46594
	.devclrn(devclrn),
46595
	.devpor(devpor),
46596
	.combout(\always11~20 ),
46597
	.regout(),
46598
	.cout(),
46599
	.cascout());
46600
// synopsys translate_off
46601
defparam \always11~20_I .clock_enable_mode = "false";
46602
defparam \always11~20_I .lut_mask = "3000";
46603
defparam \always11~20_I .operation_mode = "normal";
46604
defparam \always11~20_I .output_mode = "comb_only";
46605
defparam \always11~20_I .packed_mode = "false";
46606
// synopsys translate_on
46607
 
46608
// atom is at LC2_D20
46609
flex10ke_lcell \ide_wrlo_trig~I (
46610
// Equation(s):
46611
// ide_wrlo_trig = DFFEA(!ide_wrhi_trig & \always11~20 , GLOBAL(\clkz_in~dataout ), , , \always9~80 , , )
46612
 
46613
	.dataa(\always9~80 ),
46614
	.datab(vcc),
46615
	.datac(ide_wrhi_trig),
46616
	.datad(\always11~20 ),
46617
	.aclr(gnd),
46618
	.aload(gnd),
46619
	.clk(zclk),
46620
	.cin(gnd),
46621
	.cascin(vcc),
46622
	.devclrn(devclrn),
46623
	.devpor(devpor),
46624
	.combout(),
46625
	.regout(ide_wrlo_trig),
46626
	.cout(),
46627
	.cascout());
46628
// synopsys translate_off
46629
defparam \ide_wrlo_trig~I .clock_enable_mode = "true";
46630
defparam \ide_wrlo_trig~I .lut_mask = "0f00";
46631
defparam \ide_wrlo_trig~I .operation_mode = "normal";
46632
defparam \ide_wrlo_trig~I .output_mode = "reg_only";
46633
defparam \ide_wrlo_trig~I .packed_mode = "false";
46634
// synopsys translate_on
46635
 
46636
// atom is at LC6_D20
46637
flex10ke_lcell \ide_wrlo_latch~I (
46638
// Equation(s):
46639
// ide_wrlo_latch = \wr_n~dataout  & (ide_wrlo_trig) # !\wr_n~dataout  & ide_wrlo_latch
46640
 
46641
	.dataa(vcc),
46642
	.datab(wr_n),
46643
	.datac(ide_wrlo_latch),
46644
	.datad(ide_wrlo_trig),
46645
	.aclr(gnd),
46646
	.aload(gnd),
46647
	.clk(gnd),
46648
	.cin(gnd),
46649
	.cascin(vcc),
46650
	.devclrn(devclrn),
46651
	.devpor(devpor),
46652
	.combout(ide_wrlo_latch),
46653
	.regout(),
46654
	.cout(),
46655
	.cascout());
46656
// synopsys translate_off
46657
defparam \ide_wrlo_latch~I .clock_enable_mode = "false";
46658
defparam \ide_wrlo_latch~I .lut_mask = "fc30";
46659
defparam \ide_wrlo_latch~I .operation_mode = "normal";
46660
defparam \ide_wrlo_latch~I .output_mode = "comb_only";
46661
defparam \ide_wrlo_latch~I .packed_mode = "false";
46662
// synopsys translate_on
46663
 
46664
// atom is at LC5_D5
46665
flex10ke_lcell \ide_wrhi_latch~I (
46666
// Equation(s):
46667
// ide_wrhi_latch = \wr_n~dataout  & (ide_wrhi_trig) # !\wr_n~dataout  & ide_wrhi_latch
46668
 
46669
	.dataa(vcc),
46670
	.datab(wr_n),
46671
	.datac(ide_wrhi_latch),
46672
	.datad(ide_wrhi_trig),
46673
	.aclr(gnd),
46674
	.aload(gnd),
46675
	.clk(gnd),
46676
	.cin(gnd),
46677
	.cascin(vcc),
46678
	.devclrn(devclrn),
46679
	.devpor(devpor),
46680
	.combout(ide_wrhi_latch),
46681
	.regout(),
46682
	.cout(),
46683
	.cascout());
46684
// synopsys translate_off
46685
defparam \ide_wrhi_latch~I .clock_enable_mode = "false";
46686
defparam \ide_wrhi_latch~I .lut_mask = "fc30";
46687
defparam \ide_wrhi_latch~I .operation_mode = "normal";
46688
defparam \ide_wrhi_latch~I .output_mode = "comb_only";
46689
defparam \ide_wrhi_latch~I .packed_mode = "false";
46690
// synopsys translate_on
46691
 
46692
// atom is at LC2_D5
46693
flex10ke_lcell \ide_wr_n~3_I (
46694
// Equation(s):
46695
// \ide_wr_n~3  = !ide_wrlo_latch & !ide_wrhi_latch & \Equal23~24 
46696
 
46697
	.dataa(vcc),
46698
	.datab(ide_wrlo_latch),
46699
	.datac(ide_wrhi_latch),
46700
	.datad(\Equal23~24 ),
46701
	.aclr(gnd),
46702
	.aload(gnd),
46703
	.clk(gnd),
46704
	.cin(gnd),
46705
	.cascin(vcc),
46706
	.devclrn(devclrn),
46707
	.devpor(devpor),
46708
	.combout(\ide_wr_n~3 ),
46709
	.regout(),
46710
	.cout(),
46711
	.cascout());
46712
// synopsys translate_off
46713
defparam \ide_wr_n~3_I .clock_enable_mode = "false";
46714
defparam \ide_wr_n~3_I .lut_mask = "0300";
46715
defparam \ide_wr_n~3_I .operation_mode = "normal";
46716
defparam \ide_wr_n~3_I .output_mode = "comb_only";
46717
defparam \ide_wr_n~3_I .packed_mode = "false";
46718
// synopsys translate_on
46719
 
46720
// atom is at LC7_D8
46721
flex10ke_lcell \sdcfg_wr~122_I (
46722
// Equation(s):
46723
// \sdcfg_wr~122  = \a~dataout [15] & (shadow_en_reg # !dos)
46724
 
46725
	.dataa(vcc),
46726
	.datab(dos),
46727
	.datac(shadow_en_reg),
46728
	.datad(a_15),
46729
	.aclr(gnd),
46730
	.aload(gnd),
46731
	.clk(gnd),
46732
	.cin(gnd),
46733
	.cascin(vcc),
46734
	.devclrn(devclrn),
46735
	.devpor(devpor),
46736
	.combout(\sdcfg_wr~122 ),
46737
	.regout(),
46738
	.cout(),
46739
	.cascout());
46740
// synopsys translate_off
46741
defparam \sdcfg_wr~122_I .clock_enable_mode = "false";
46742
defparam \sdcfg_wr~122_I .lut_mask = "f300";
46743
defparam \sdcfg_wr~122_I .operation_mode = "normal";
46744
defparam \sdcfg_wr~122_I .output_mode = "comb_only";
46745
defparam \sdcfg_wr~122_I .packed_mode = "false";
46746
// synopsys translate_on
46747
 
46748
// atom is at LC5_D26
46749
flex10ke_lcell \Equal12~40_I (
46750
// Equation(s):
46751
// \Equal12~40  = !\a~dataout [7] & !\a~dataout [5] & \Equal12~39 
46752
 
46753
	.dataa(vcc),
46754
	.datab(a_7),
46755
	.datac(a_5),
46756
	.datad(\Equal12~39 ),
46757
	.aclr(gnd),
46758
	.aload(gnd),
46759
	.clk(gnd),
46760
	.cin(gnd),
46761
	.cascin(vcc),
46762
	.devclrn(devclrn),
46763
	.devpor(devpor),
46764
	.combout(\Equal12~40 ),
46765
	.regout(),
46766
	.cout(),
46767
	.cascout());
46768
// synopsys translate_off
46769
defparam \Equal12~40_I .clock_enable_mode = "false";
46770
defparam \Equal12~40_I .lut_mask = "0300";
46771
defparam \Equal12~40_I .operation_mode = "normal";
46772
defparam \Equal12~40_I .output_mode = "comb_only";
46773
defparam \Equal12~40_I .packed_mode = "false";
46774
// synopsys translate_on
46775
 
46776
// atom is at LC1_D12
46777
flex10ke_lcell \sdcfg_wr~123_I (
46778
// Equation(s):
46779
// \sdcfg_wr~123  = shadow & (\sdcfg_wr~122  & \Equal12~40 ) # !shadow & (\Equal14~22  # \sdcfg_wr~122  & \Equal12~40 )
46780
 
46781
	.dataa(shadow),
46782
	.datab(\Equal14~22 ),
46783
	.datac(\sdcfg_wr~122 ),
46784
	.datad(\Equal12~40 ),
46785
	.aclr(gnd),
46786
	.aload(gnd),
46787
	.clk(gnd),
46788
	.cin(gnd),
46789
	.cascin(vcc),
46790
	.devclrn(devclrn),
46791
	.devpor(devpor),
46792
	.combout(\sdcfg_wr~123 ),
46793
	.regout(),
46794
	.cout(),
46795
	.cascout());
46796
// synopsys translate_off
46797
defparam \sdcfg_wr~123_I .clock_enable_mode = "false";
46798
defparam \sdcfg_wr~123_I .lut_mask = "f444";
46799
defparam \sdcfg_wr~123_I .operation_mode = "normal";
46800
defparam \sdcfg_wr~123_I .output_mode = "comb_only";
46801
defparam \sdcfg_wr~123_I .packed_mode = "false";
46802
// synopsys translate_on
46803
 
46804
// atom is at LC3_D12
46805
flex10ke_lcell \sdcfg_wr~124_I (
46806
// Equation(s):
46807
// \sdcfg_wr~124  = \sdcfg_wr~123  & port_wr
46808
 
46809
	.dataa(vcc),
46810
	.datab(vcc),
46811
	.datac(\sdcfg_wr~123 ),
46812
	.datad(port_wr),
46813
	.aclr(gnd),
46814
	.aload(gnd),
46815
	.clk(gnd),
46816
	.cin(gnd),
46817
	.cascin(vcc),
46818
	.devclrn(devclrn),
46819
	.devpor(devpor),
46820
	.combout(\sdcfg_wr~124 ),
46821
	.regout(),
46822
	.cout(),
46823
	.cascout());
46824
// synopsys translate_off
46825
defparam \sdcfg_wr~124_I .clock_enable_mode = "false";
46826
defparam \sdcfg_wr~124_I .lut_mask = "f000";
46827
defparam \sdcfg_wr~124_I .operation_mode = "normal";
46828
defparam \sdcfg_wr~124_I .output_mode = "comb_only";
46829
defparam \sdcfg_wr~124_I .packed_mode = "false";
46830
// synopsys translate_on
46831
 
46832
// atom is at LC7_B35
46833
flex10ke_lcell \always18~42_I (
46834
// Equation(s):
46835
// \always18~42  = !\a~dataout [15] & port_wr
46836
 
46837
	.dataa(vcc),
46838
	.datab(vcc),
46839
	.datac(a_15),
46840
	.datad(port_wr),
46841
	.aclr(gnd),
46842
	.aload(gnd),
46843
	.clk(gnd),
46844
	.cin(gnd),
46845
	.cascin(vcc),
46846
	.devclrn(devclrn),
46847
	.devpor(devpor),
46848
	.combout(\always18~42 ),
46849
	.regout(),
46850
	.cout(),
46851
	.cascout());
46852
// synopsys translate_off
46853
defparam \always18~42_I .clock_enable_mode = "false";
46854
defparam \always18~42_I .lut_mask = "0f00";
46855
defparam \always18~42_I .operation_mode = "normal";
46856
defparam \always18~42_I .output_mode = "comb_only";
46857
defparam \always18~42_I .packed_mode = "false";
46858
// synopsys translate_on
46859
 
46860
// atom is at LC6_B35
46861
flex10ke_lcell \always18~43_I (
46862
// Equation(s):
46863
// \always18~43  = \always18~42  & \Equal17~39  & (!peff7_int_2 # !p7ffd_int_5)
46864
 
46865
	.dataa(p7ffd_int_5),
46866
	.datab(peff7_int_2),
46867
	.datac(\always18~42 ),
46868
	.datad(\Equal17~39 ),
46869
	.aclr(gnd),
46870
	.aload(gnd),
46871
	.clk(gnd),
46872
	.cin(gnd),
46873
	.cascin(vcc),
46874
	.devclrn(devclrn),
46875
	.devpor(devpor),
46876
	.combout(\always18~43 ),
46877
	.regout(),
46878
	.cout(),
46879
	.cascout());
46880
// synopsys translate_off
46881
defparam \always18~43_I .clock_enable_mode = "false";
46882
defparam \always18~43_I .lut_mask = "7000";
46883
defparam \always18~43_I .operation_mode = "normal";
46884
defparam \always18~43_I .output_mode = "comb_only";
46885
defparam \always18~43_I .packed_mode = "false";
46886
// synopsys translate_on
46887
 
46888
// atom is at LC8_D8
46889
flex10ke_lcell \atm77_wr_fclk~22_I (
46890
// Equation(s):
46891
// \atm77_wr_fclk~22  = port_wr_fclk & \Equal14~22  & (shadow_en_reg # !dos)
46892
 
46893
	.dataa(dos),
46894
	.datab(shadow_en_reg),
46895
	.datac(port_wr_fclk),
46896
	.datad(\Equal14~22 ),
46897
	.aclr(gnd),
46898
	.aload(gnd),
46899
	.clk(gnd),
46900
	.cin(gnd),
46901
	.cascin(vcc),
46902
	.devclrn(devclrn),
46903
	.devpor(devpor),
46904
	.combout(\atm77_wr_fclk~22 ),
46905
	.regout(),
46906
	.cout(),
46907
	.cascout());
46908
// synopsys translate_off
46909
defparam \atm77_wr_fclk~22_I .clock_enable_mode = "false";
46910
defparam \atm77_wr_fclk~22_I .lut_mask = "d000";
46911
defparam \atm77_wr_fclk~22_I .operation_mode = "normal";
46912
defparam \atm77_wr_fclk~22_I .output_mode = "comb_only";
46913
defparam \atm77_wr_fclk~22_I .packed_mode = "false";
46914
// synopsys translate_on
46915
 
46916
// atom is at LC6_D8
46917
flex10ke_lcell \wait_start_gluclock~56_I (
46918
// Equation(s):
46919
// \wait_start_gluclock~56  = \Equal13~23  & (\a~dataout [8] $ (shadow_en_reg # !dos))
46920
 
46921
	.dataa(a_8),
46922
	.datab(shadow_en_reg),
46923
	.datac(dos),
46924
	.datad(\Equal13~23 ),
46925
	.aclr(gnd),
46926
	.aload(gnd),
46927
	.clk(gnd),
46928
	.cin(gnd),
46929
	.cascin(vcc),
46930
	.devclrn(devclrn),
46931
	.devpor(devpor),
46932
	.combout(\wait_start_gluclock~56 ),
46933
	.regout(),
46934
	.cout(),
46935
	.cascout());
46936
// synopsys translate_off
46937
defparam \wait_start_gluclock~56_I .clock_enable_mode = "false";
46938
defparam \wait_start_gluclock~56_I .lut_mask = "6500";
46939
defparam \wait_start_gluclock~56_I .operation_mode = "normal";
46940
defparam \wait_start_gluclock~56_I .output_mode = "comb_only";
46941
defparam \wait_start_gluclock~56_I .packed_mode = "false";
46942
// synopsys translate_on
46943
 
46944
// atom is at LC3_D13
46945
flex10ke_lcell \always19~42_I (
46946
// Equation(s):
46947
// \always19~42  = !\a~dataout [12] & port_wr & \wait_start_gluclock~56 
46948
 
46949
	.dataa(vcc),
46950
	.datab(a_12),
46951
	.datac(port_wr),
46952
	.datad(\wait_start_gluclock~56 ),
46953
	.aclr(gnd),
46954
	.aload(gnd),
46955
	.clk(gnd),
46956
	.cin(gnd),
46957
	.cascin(vcc),
46958
	.devclrn(devclrn),
46959
	.devpor(devpor),
46960
	.combout(\always19~42 ),
46961
	.regout(),
46962
	.cout(),
46963
	.cascout());
46964
// synopsys translate_off
46965
defparam \always19~42_I .clock_enable_mode = "false";
46966
defparam \always19~42_I .lut_mask = "3000";
46967
defparam \always19~42_I .operation_mode = "normal";
46968
defparam \always19~42_I .output_mode = "comb_only";
46969
defparam \always19~42_I .packed_mode = "false";
46970
// synopsys translate_on
46971
 
46972
// atom is at LC7_D5
46973
flex10ke_lcell \iowr_reg~I (
46974
// Equation(s):
46975
// iowr_reg = DFFEA(!\iorq_n~dataout  & !\wr_n~dataout , GLOBAL(\clkz_in~dataout ), , , , , )
46976
 
46977
	.dataa(vcc),
46978
	.datab(vcc),
46979
	.datac(iorq_n),
46980
	.datad(wr_n),
46981
	.aclr(gnd),
46982
	.aload(gnd),
46983
	.clk(zclk),
46984
	.cin(gnd),
46985
	.cascin(vcc),
46986
	.devclrn(devclrn),
46987
	.devpor(devpor),
46988
	.combout(),
46989
	.regout(iowr_reg),
46990
	.cout(),
46991
	.cascout());
46992
// synopsys translate_off
46993
defparam \iowr_reg~I .clock_enable_mode = "false";
46994
defparam \iowr_reg~I .lut_mask = "000f";
46995
defparam \iowr_reg~I .operation_mode = "normal";
46996
defparam \iowr_reg~I .output_mode = "reg_only";
46997
defparam \iowr_reg~I .packed_mode = "false";
46998
// synopsys translate_on
46999
 
47000
// atom is at LC4_D12
47001
flex10ke_lcell \sd_start_toggle~I (
47002
// Equation(s):
47003
// sd_start_toggle = DFFEA(!sd_start_toggle, GLOBAL(\clkz_in~dataout ), , , \always26~82 , , )
47004
 
47005
	.dataa(\always26~82 ),
47006
	.datab(vcc),
47007
	.datac(vcc),
47008
	.datad(sd_start_toggle),
47009
	.aclr(gnd),
47010
	.aload(gnd),
47011
	.clk(zclk),
47012
	.cin(gnd),
47013
	.cascin(vcc),
47014
	.devclrn(devclrn),
47015
	.devpor(devpor),
47016
	.combout(),
47017
	.regout(sd_start_toggle),
47018
	.cout(),
47019
	.cascout());
47020
// synopsys translate_off
47021
defparam \sd_start_toggle~I .clock_enable_mode = "true";
47022
defparam \sd_start_toggle~I .lut_mask = "00ff";
47023
defparam \sd_start_toggle~I .operation_mode = "normal";
47024
defparam \sd_start_toggle~I .output_mode = "reg_only";
47025
defparam \sd_start_toggle~I .packed_mode = "false";
47026
// synopsys translate_on
47027
 
47028
// atom is at LC6_D12
47029
flex10ke_lcell \sd_stgl[0]~I (
47030
// Equation(s):
47031
// sd_stgl[0] = DFFEA(sd_start_toggle, GLOBAL(\fclk~dataout ), , , , , )
47032
 
47033
	.dataa(vcc),
47034
	.datab(vcc),
47035
	.datac(vcc),
47036
	.datad(sd_start_toggle),
47037
	.aclr(gnd),
47038
	.aload(gnd),
47039
	.clk(fclk),
47040
	.cin(gnd),
47041
	.cascin(vcc),
47042
	.devclrn(devclrn),
47043
	.devpor(devpor),
47044
	.combout(),
47045
	.regout(sd_stgl[0]),
47046
	.cout(),
47047
	.cascout());
47048
// synopsys translate_off
47049
defparam \sd_stgl[0]~I .clock_enable_mode = "false";
47050
defparam \sd_stgl[0]~I .lut_mask = "ff00";
47051
defparam \sd_stgl[0]~I .operation_mode = "normal";
47052
defparam \sd_stgl[0]~I .output_mode = "reg_only";
47053
defparam \sd_stgl[0]~I .packed_mode = "false";
47054
// synopsys translate_on
47055
 
47056
// atom is at LC4_F3
47057
flex10ke_lcell \peff7_int[7]~I (
47058
// Equation(s):
47059
// peff7_int[7] = DFFEA(\d[7]~0 , GLOBAL(\clkz_in~dataout ), rst_out_n, , \always19~42 , , )
47060
 
47061
	.dataa(\always19~42 ),
47062
	.datab(vcc),
47063
	.datac(vcc),
47064
	.datad(d_7),
47065
	.aclr(!rst_n),
47066
	.aload(gnd),
47067
	.clk(zclk),
47068
	.cin(gnd),
47069
	.cascin(vcc),
47070
	.devclrn(devclrn),
47071
	.devpor(devpor),
47072
	.combout(),
47073
	.regout(peff7_int[7]),
47074
	.cout(),
47075
	.cascout());
47076
// synopsys translate_off
47077
defparam \peff7_int[7]~I .clock_enable_mode = "true";
47078
defparam \peff7_int[7]~I .lut_mask = "ff00";
47079
defparam \peff7_int[7]~I .operation_mode = "normal";
47080
defparam \peff7_int[7]~I .output_mode = "reg_only";
47081
defparam \peff7_int[7]~I .packed_mode = "false";
47082
// synopsys translate_on
47083
 
47084
// atom is at LC8_D13
47085
flex10ke_lcell \wait_start_gluclock~57_I (
47086
// Equation(s):
47087
// \wait_start_gluclock~57  = \wait_start_gluclock~56  & peff7_int[7]
47088
 
47089
	.dataa(vcc),
47090
	.datab(vcc),
47091
	.datac(\wait_start_gluclock~56 ),
47092
	.datad(peff7_int[7]),
47093
	.aclr(gnd),
47094
	.aload(gnd),
47095
	.clk(gnd),
47096
	.cin(gnd),
47097
	.cascin(vcc),
47098
	.devclrn(devclrn),
47099
	.devpor(devpor),
47100
	.combout(\wait_start_gluclock~57 ),
47101
	.regout(),
47102
	.cout(),
47103
	.cascout());
47104
// synopsys translate_off
47105
defparam \wait_start_gluclock~57_I .clock_enable_mode = "false";
47106
defparam \wait_start_gluclock~57_I .lut_mask = "f000";
47107
defparam \wait_start_gluclock~57_I .operation_mode = "normal";
47108
defparam \wait_start_gluclock~57_I .output_mode = "comb_only";
47109
defparam \wait_start_gluclock~57_I .packed_mode = "false";
47110
// synopsys translate_on
47111
 
47112
// atom is at LC1_D13
47113
flex10ke_lcell \wait_write~358_I (
47114
// Equation(s):
47115
// \wait_write~358  = port_wr & (\Equal16~50  # !\a~dataout [14] & \wait_start_gluclock~57 )
47116
 
47117
	.dataa(a_14),
47118
	.datab(\wait_start_gluclock~57 ),
47119
	.datac(\Equal16~50 ),
47120
	.datad(port_wr),
47121
	.aclr(gnd),
47122
	.aload(gnd),
47123
	.clk(gnd),
47124
	.cin(gnd),
47125
	.cascin(vcc),
47126
	.devclrn(devclrn),
47127
	.devpor(devpor),
47128
	.combout(\wait_write~358 ),
47129
	.regout(),
47130
	.cout(),
47131
	.cascout());
47132
// synopsys translate_off
47133
defparam \wait_write~358_I .clock_enable_mode = "false";
47134
defparam \wait_write~358_I .lut_mask = "f400";
47135
defparam \wait_write~358_I .operation_mode = "normal";
47136
defparam \wait_write~358_I .output_mode = "comb_only";
47137
defparam \wait_write~358_I .packed_mode = "false";
47138
// synopsys translate_on
47139
 
47140
// atom is at LC4_D13
47141
flex10ke_lcell \gluclock_addr[0]~56_I (
47142
// Equation(s):
47143
// \gluclock_addr[0]~56  = !\a~dataout [13] & \wait_start_gluclock~56  & peff7_int[7] & port_wr
47144
 
47145
	.dataa(a_13),
47146
	.datab(\wait_start_gluclock~56 ),
47147
	.datac(peff7_int[7]),
47148
	.datad(port_wr),
47149
	.aclr(gnd),
47150
	.aload(gnd),
47151
	.clk(gnd),
47152
	.cin(gnd),
47153
	.cascin(vcc),
47154
	.devclrn(devclrn),
47155
	.devpor(devpor),
47156
	.combout(\gluclock_addr[0]~56 ),
47157
	.regout(),
47158
	.cout(),
47159
	.cascout());
47160
// synopsys translate_off
47161
defparam \gluclock_addr[0]~56_I .clock_enable_mode = "false";
47162
defparam \gluclock_addr[0]~56_I .lut_mask = "4000";
47163
defparam \gluclock_addr[0]~56_I .operation_mode = "normal";
47164
defparam \gluclock_addr[0]~56_I .output_mode = "comb_only";
47165
defparam \gluclock_addr[0]~56_I .packed_mode = "false";
47166
// synopsys translate_on
47167
 
47168
// atom is at LC5_H14
47169
flex10ke_lcell \Selector7~1871_I (
47170
// Equation(s):
47171
// \Selector7~1918  = !wait_reg_0 & \a~dataout [1] & \a~dataout [0] # !\a~dataout [5]
47172
 
47173
	.dataa(a_5),
47174
	.datab(wait_reg_0),
47175
	.datac(a_1),
47176
	.datad(a_0),
47177
	.aclr(gnd),
47178
	.aload(gnd),
47179
	.clk(gnd),
47180
	.cin(gnd),
47181
	.cascin(vcc),
47182
	.devclrn(devclrn),
47183
	.devpor(devpor),
47184
	.combout(\Selector7~1871 ),
47185
	.regout(),
47186
	.cout(),
47187
	.cascout(\Selector7~1918 ));
47188
// synopsys translate_off
47189
defparam \Selector7~1871_I .clock_enable_mode = "false";
47190
defparam \Selector7~1871_I .lut_mask = "7555";
47191
defparam \Selector7~1871_I .operation_mode = "normal";
47192
defparam \Selector7~1871_I .output_mode = "none";
47193
defparam \Selector7~1871_I .packed_mode = "false";
47194
// synopsys translate_on
47195
 
47196
// atom is at LC6_H14
47197
flex10ke_lcell \Selector7~1897_I (
47198
// Equation(s):
47199
// \Selector7~1897  = (\a~dataout [7] & \a~dataout [6] & (\a~dataout [2] $ !\a~dataout [5])) & CASCADE(\Selector7~1918 )
47200
 
47201
	.dataa(a_2),
47202
	.datab(a_5),
47203
	.datac(a_7),
47204
	.datad(a_6),
47205
	.aclr(gnd),
47206
	.aload(gnd),
47207
	.clk(gnd),
47208
	.cin(gnd),
47209
	.cascin(\Selector7~1918 ),
47210
	.devclrn(devclrn),
47211
	.devpor(devpor),
47212
	.combout(\Selector7~1897 ),
47213
	.regout(),
47214
	.cout(),
47215
	.cascout());
47216
// synopsys translate_off
47217
defparam \Selector7~1897_I .clock_enable_mode = "false";
47218
defparam \Selector7~1897_I .lut_mask = "9000";
47219
defparam \Selector7~1897_I .operation_mode = "normal";
47220
defparam \Selector7~1897_I .output_mode = "comb_only";
47221
defparam \Selector7~1897_I .packed_mode = "false";
47222
// synopsys translate_on
47223
 
47224
// atom is at LC4_H28
47225
flex10ke_lcell \Selector7~1856_I (
47226
// Equation(s):
47227
// \Selector7~1856  = !\a~dataout [1] & !\a~dataout [0]
47228
 
47229
	.dataa(vcc),
47230
	.datab(vcc),
47231
	.datac(a_1),
47232
	.datad(a_0),
47233
	.aclr(gnd),
47234
	.aload(gnd),
47235
	.clk(gnd),
47236
	.cin(gnd),
47237
	.cascin(vcc),
47238
	.devclrn(devclrn),
47239
	.devpor(devpor),
47240
	.combout(\Selector7~1856 ),
47241
	.regout(),
47242
	.cout(),
47243
	.cascout());
47244
// synopsys translate_off
47245
defparam \Selector7~1856_I .clock_enable_mode = "false";
47246
defparam \Selector7~1856_I .lut_mask = "000f";
47247
defparam \Selector7~1856_I .operation_mode = "normal";
47248
defparam \Selector7~1856_I .output_mode = "comb_only";
47249
defparam \Selector7~1856_I .packed_mode = "false";
47250
// synopsys translate_on
47251
 
47252
// atom is at LC2_H24
47253
flex10ke_lcell \Selector7~1861_I (
47254
// Equation(s):
47255
// \Selector7~1861  = \a~dataout [0] & \a~dataout [7]
47256
 
47257
	.dataa(vcc),
47258
	.datab(vcc),
47259
	.datac(a_0),
47260
	.datad(a_7),
47261
	.aclr(gnd),
47262
	.aload(gnd),
47263
	.clk(gnd),
47264
	.cin(gnd),
47265
	.cascin(vcc),
47266
	.devclrn(devclrn),
47267
	.devpor(devpor),
47268
	.combout(\Selector7~1861 ),
47269
	.regout(),
47270
	.cout(),
47271
	.cascout());
47272
// synopsys translate_off
47273
defparam \Selector7~1861_I .clock_enable_mode = "false";
47274
defparam \Selector7~1861_I .lut_mask = "f000";
47275
defparam \Selector7~1861_I .operation_mode = "normal";
47276
defparam \Selector7~1861_I .output_mode = "comb_only";
47277
defparam \Selector7~1861_I .packed_mode = "false";
47278
// synopsys translate_on
47279
 
47280
// atom is at LC3_E26
47281
flex10ke_lcell \Selector2~1450_I (
47282
// Equation(s):
47283
// \Selector2~1450  = \a~dataout [10] & (musy_5) # !\a~dataout [10] & musx_5
47284
 
47285
	.dataa(vcc),
47286
	.datab(a_10),
47287
	.datac(musx_5),
47288
	.datad(musy_5),
47289
	.aclr(gnd),
47290
	.aload(gnd),
47291
	.clk(gnd),
47292
	.cin(gnd),
47293
	.cascin(vcc),
47294
	.devclrn(devclrn),
47295
	.devpor(devpor),
47296
	.combout(\Selector2~1450 ),
47297
	.regout(),
47298
	.cout(),
47299
	.cascout());
47300
// synopsys translate_off
47301
defparam \Selector2~1450_I .clock_enable_mode = "false";
47302
defparam \Selector2~1450_I .lut_mask = "fc30";
47303
defparam \Selector2~1450_I .operation_mode = "normal";
47304
defparam \Selector2~1450_I .output_mode = "comb_only";
47305
defparam \Selector2~1450_I .packed_mode = "false";
47306
// synopsys translate_on
47307
 
47308
// atom is at LC6_E26
47309
flex10ke_lcell \Selector2~1451_I (
47310
// Equation(s):
47311
// \Selector2~1451  = \a~dataout [8] & (\Selector2~1450 ) # !\a~dataout [8] & musbtn_5 # !\Selector7~1861 
47312
 
47313
	.dataa(\Selector7~1861 ),
47314
	.datab(a_8),
47315
	.datac(musbtn_5),
47316
	.datad(\Selector2~1450 ),
47317
	.aclr(gnd),
47318
	.aload(gnd),
47319
	.clk(gnd),
47320
	.cin(gnd),
47321
	.cascin(vcc),
47322
	.devclrn(devclrn),
47323
	.devpor(devpor),
47324
	.combout(\Selector2~1451 ),
47325
	.regout(),
47326
	.cout(),
47327
	.cascout());
47328
// synopsys translate_off
47329
defparam \Selector2~1451_I .clock_enable_mode = "false";
47330
defparam \Selector2~1451_I .lut_mask = "fd75";
47331
defparam \Selector2~1451_I .operation_mode = "normal";
47332
defparam \Selector2~1451_I .output_mode = "comb_only";
47333
defparam \Selector2~1451_I .packed_mode = "false";
47334
// synopsys translate_on
47335
 
47336
// atom is at LC4_E27
47337
flex10ke_lcell \Equal9~59_I (
47338
// Equation(s):
47339
// \Equal9~59  = !\a~dataout [5] & \a~dataout [6]
47340
 
47341
	.dataa(vcc),
47342
	.datab(vcc),
47343
	.datac(a_5),
47344
	.datad(a_6),
47345
	.aclr(gnd),
47346
	.aload(gnd),
47347
	.clk(gnd),
47348
	.cin(gnd),
47349
	.cascin(vcc),
47350
	.devclrn(devclrn),
47351
	.devpor(devpor),
47352
	.combout(\Equal9~59 ),
47353
	.regout(),
47354
	.cout(),
47355
	.cascout());
47356
// synopsys translate_off
47357
defparam \Equal9~59_I .clock_enable_mode = "false";
47358
defparam \Equal9~59_I .lut_mask = "0f00";
47359
defparam \Equal9~59_I .operation_mode = "normal";
47360
defparam \Equal9~59_I .output_mode = "comb_only";
47361
defparam \Equal9~59_I .packed_mode = "false";
47362
// synopsys translate_on
47363
 
47364
// atom is at LC1_H24
47365
flex10ke_lcell \Selector2~1449_I (
47366
// Equation(s):
47367
// \Selector2~1449  = \a~dataout [6] & \a~dataout [5] & (\a~dataout [0] # !\a~dataout [7]) # !\a~dataout [6] & (\a~dataout [7] # \a~dataout [5] # !\a~dataout [0])
47368
 
47369
	.dataa(a_6),
47370
	.datab(a_7),
47371
	.datac(a_0),
47372
	.datad(a_5),
47373
	.aclr(gnd),
47374
	.aload(gnd),
47375
	.clk(gnd),
47376
	.cin(gnd),
47377
	.cascin(vcc),
47378
	.devclrn(devclrn),
47379
	.devpor(devpor),
47380
	.combout(\Selector2~1449 ),
47381
	.regout(),
47382
	.cout(),
47383
	.cascout());
47384
// synopsys translate_off
47385
defparam \Selector2~1449_I .clock_enable_mode = "false";
47386
defparam \Selector2~1449_I .lut_mask = "f745";
47387
defparam \Selector2~1449_I .operation_mode = "normal";
47388
defparam \Selector2~1449_I .output_mode = "comb_only";
47389
defparam \Selector2~1449_I .packed_mode = "false";
47390
// synopsys translate_on
47391
 
47392
// atom is at LC5_E31
47393
flex10ke_lcell \Selector1~1382_I (
47394
// Equation(s):
47395
// \Selector1~1382  = \a~dataout [10] & (musy_6) # !\a~dataout [10] & musx_6
47396
 
47397
	.dataa(vcc),
47398
	.datab(a_10),
47399
	.datac(musx_6),
47400
	.datad(musy_6),
47401
	.aclr(gnd),
47402
	.aload(gnd),
47403
	.clk(gnd),
47404
	.cin(gnd),
47405
	.cascin(vcc),
47406
	.devclrn(devclrn),
47407
	.devpor(devpor),
47408
	.combout(\Selector1~1382 ),
47409
	.regout(),
47410
	.cout(),
47411
	.cascout());
47412
// synopsys translate_off
47413
defparam \Selector1~1382_I .clock_enable_mode = "false";
47414
defparam \Selector1~1382_I .lut_mask = "fc30";
47415
defparam \Selector1~1382_I .operation_mode = "normal";
47416
defparam \Selector1~1382_I .output_mode = "comb_only";
47417
defparam \Selector1~1382_I .packed_mode = "false";
47418
// synopsys translate_on
47419
 
47420
// atom is at LC2_E31
47421
flex10ke_lcell \Selector1~1383_I (
47422
// Equation(s):
47423
// \Selector1~1383  = \a~dataout [8] & (\Selector1~1382 ) # !\a~dataout [8] & musbtn_6
47424
 
47425
	.dataa(vcc),
47426
	.datab(a_8),
47427
	.datac(musbtn_6),
47428
	.datad(\Selector1~1382 ),
47429
	.aclr(gnd),
47430
	.aload(gnd),
47431
	.clk(gnd),
47432
	.cin(gnd),
47433
	.cascin(vcc),
47434
	.devclrn(devclrn),
47435
	.devpor(devpor),
47436
	.combout(\Selector1~1383 ),
47437
	.regout(),
47438
	.cout(),
47439
	.cascout());
47440
// synopsys translate_off
47441
defparam \Selector1~1383_I .clock_enable_mode = "false";
47442
defparam \Selector1~1383_I .lut_mask = "fc30";
47443
defparam \Selector1~1383_I .operation_mode = "normal";
47444
defparam \Selector1~1383_I .output_mode = "comb_only";
47445
defparam \Selector1~1383_I .packed_mode = "false";
47446
// synopsys translate_on
47447
 
47448
// atom is at LC3_E33
47449
flex10ke_lcell \Selector1~1380_I (
47450
// Equation(s):
47451
// \Selector1~1380  = \a~dataout [7] $ \a~dataout [6] # !\a~dataout [1] # !\a~dataout [2]
47452
 
47453
	.dataa(a_2),
47454
	.datab(a_1),
47455
	.datac(a_7),
47456
	.datad(a_6),
47457
	.aclr(gnd),
47458
	.aload(gnd),
47459
	.clk(gnd),
47460
	.cin(gnd),
47461
	.cascin(vcc),
47462
	.devclrn(devclrn),
47463
	.devpor(devpor),
47464
	.combout(\Selector1~1380 ),
47465
	.regout(),
47466
	.cout(),
47467
	.cascout());
47468
// synopsys translate_off
47469
defparam \Selector1~1380_I .clock_enable_mode = "false";
47470
defparam \Selector1~1380_I .lut_mask = "7ff7";
47471
defparam \Selector1~1380_I .operation_mode = "normal";
47472
defparam \Selector1~1380_I .output_mode = "comb_only";
47473
defparam \Selector1~1380_I .packed_mode = "false";
47474
// synopsys translate_on
47475
 
47476
// atom is at LC5_E33
47477
flex10ke_lcell \Selector1~1381_I (
47478
// Equation(s):
47479
// \Selector1~1381  = \Selector1~1380  # !\a~dataout [0] & (cfg0_reg_out_2 # !\a~dataout [5])
47480
 
47481
	.dataa(a_0),
47482
	.datab(a_5),
47483
	.datac(cfg0_reg_out_2),
47484
	.datad(\Selector1~1380 ),
47485
	.aclr(gnd),
47486
	.aload(gnd),
47487
	.clk(gnd),
47488
	.cin(gnd),
47489
	.cascin(vcc),
47490
	.devclrn(devclrn),
47491
	.devpor(devpor),
47492
	.combout(\Selector1~1381 ),
47493
	.regout(),
47494
	.cout(),
47495
	.cascout());
47496
// synopsys translate_off
47497
defparam \Selector1~1381_I .clock_enable_mode = "false";
47498
defparam \Selector1~1381_I .lut_mask = "ff51";
47499
defparam \Selector1~1381_I .operation_mode = "normal";
47500
defparam \Selector1~1381_I .output_mode = "comb_only";
47501
defparam \Selector1~1381_I .packed_mode = "false";
47502
// synopsys translate_on
47503
 
47504
// atom is at LC2_E33
47505
flex10ke_lcell \Selector1~1379_I (
47506
// Equation(s):
47507
// \Selector1~1379  = \a~dataout [5] & (drq_sync_1 & \a~dataout [0] # !\a~dataout [6])
47508
 
47509
	.dataa(a_6),
47510
	.datab(drq_sync_1),
47511
	.datac(a_0),
47512
	.datad(a_5),
47513
	.aclr(gnd),
47514
	.aload(gnd),
47515
	.clk(gnd),
47516
	.cin(gnd),
47517
	.cascin(vcc),
47518
	.devclrn(devclrn),
47519
	.devpor(devpor),
47520
	.combout(\Selector1~1379 ),
47521
	.regout(),
47522
	.cout(),
47523
	.cascout());
47524
// synopsys translate_off
47525
defparam \Selector1~1379_I .clock_enable_mode = "false";
47526
defparam \Selector1~1379_I .lut_mask = "d500";
47527
defparam \Selector1~1379_I .operation_mode = "normal";
47528
defparam \Selector1~1379_I .output_mode = "comb_only";
47529
defparam \Selector1~1379_I .packed_mode = "false";
47530
// synopsys translate_on
47531
 
47532
// atom is at LC5_E19
47533
flex10ke_lcell \Selector0~1452_I (
47534
// Equation(s):
47535
// \Selector0~1452  = \a~dataout [10] & (musy_7) # !\a~dataout [10] & musx_7
47536
 
47537
	.dataa(vcc),
47538
	.datab(a_10),
47539
	.datac(musx_7),
47540
	.datad(musy_7),
47541
	.aclr(gnd),
47542
	.aload(gnd),
47543
	.clk(gnd),
47544
	.cin(gnd),
47545
	.cascin(vcc),
47546
	.devclrn(devclrn),
47547
	.devpor(devpor),
47548
	.combout(\Selector0~1452 ),
47549
	.regout(),
47550
	.cout(),
47551
	.cascout());
47552
// synopsys translate_off
47553
defparam \Selector0~1452_I .clock_enable_mode = "false";
47554
defparam \Selector0~1452_I .lut_mask = "fc30";
47555
defparam \Selector0~1452_I .operation_mode = "normal";
47556
defparam \Selector0~1452_I .output_mode = "comb_only";
47557
defparam \Selector0~1452_I .packed_mode = "false";
47558
// synopsys translate_on
47559
 
47560
// atom is at LC4_E19
47561
flex10ke_lcell \Selector0~1453_I (
47562
// Equation(s):
47563
// \Selector0~1453  = !\a~dataout [5] & (\a~dataout [8] & (\Selector0~1452 ) # !\a~dataout [8] & musbtn_7)
47564
 
47565
	.dataa(a_5),
47566
	.datab(a_8),
47567
	.datac(musbtn_7),
47568
	.datad(\Selector0~1452 ),
47569
	.aclr(gnd),
47570
	.aload(gnd),
47571
	.clk(gnd),
47572
	.cin(gnd),
47573
	.cascin(vcc),
47574
	.devclrn(devclrn),
47575
	.devpor(devpor),
47576
	.combout(\Selector0~1453 ),
47577
	.regout(),
47578
	.cout(),
47579
	.cascout());
47580
// synopsys translate_off
47581
defparam \Selector0~1453_I .clock_enable_mode = "false";
47582
defparam \Selector0~1453_I .lut_mask = "5410";
47583
defparam \Selector0~1453_I .operation_mode = "normal";
47584
defparam \Selector0~1453_I .output_mode = "comb_only";
47585
defparam \Selector0~1453_I .packed_mode = "false";
47586
// synopsys translate_on
47587
 
47588
// atom is at LC5_H24
47589
flex10ke_lcell \Selector0~1450_I (
47590
// Equation(s):
47591
// \Selector0~1450  = \a~dataout [6] & (intrq_sync_1 & \a~dataout [5]) # !\a~dataout [6] & (\a~dataout [7] # \a~dataout [5])
47592
 
47593
	.dataa(a_6),
47594
	.datab(a_7),
47595
	.datac(intrq_sync_1),
47596
	.datad(a_5),
47597
	.aclr(gnd),
47598
	.aload(gnd),
47599
	.clk(gnd),
47600
	.cin(gnd),
47601
	.cascin(vcc),
47602
	.devclrn(devclrn),
47603
	.devpor(devpor),
47604
	.combout(\Selector0~1450 ),
47605
	.regout(),
47606
	.cout(),
47607
	.cascout());
47608
// synopsys translate_off
47609
defparam \Selector0~1450_I .clock_enable_mode = "false";
47610
defparam \Selector0~1450_I .lut_mask = "f544";
47611
defparam \Selector0~1450_I .operation_mode = "normal";
47612
defparam \Selector0~1450_I .output_mode = "comb_only";
47613
defparam \Selector0~1450_I .packed_mode = "false";
47614
// synopsys translate_on
47615
 
47616
// atom is at LC7_H24
47617
flex10ke_lcell \Selector0~1451_I (
47618
// Equation(s):
47619
// \Selector0~1451  = \Selector0~1450  # !\a~dataout [0] # !\a~dataout [1] # !\a~dataout [2]
47620
 
47621
	.dataa(a_2),
47622
	.datab(a_1),
47623
	.datac(a_0),
47624
	.datad(\Selector0~1450 ),
47625
	.aclr(gnd),
47626
	.aload(gnd),
47627
	.clk(gnd),
47628
	.cin(gnd),
47629
	.cascin(vcc),
47630
	.devclrn(devclrn),
47631
	.devpor(devpor),
47632
	.combout(\Selector0~1451 ),
47633
	.regout(),
47634
	.cout(),
47635
	.cascout());
47636
// synopsys translate_off
47637
defparam \Selector0~1451_I .clock_enable_mode = "false";
47638
defparam \Selector0~1451_I .lut_mask = "ff7f";
47639
defparam \Selector0~1451_I .operation_mode = "normal";
47640
defparam \Selector0~1451_I .output_mode = "comb_only";
47641
defparam \Selector0~1451_I .packed_mode = "false";
47642
// synopsys translate_on
47643
 
47644
// atom is at LC4_B8
47645
flex10ke_lcell \idewrreg[0]~I (
47646
// Equation(s):
47647
// idewrreg[0] = DFFEA(\d[0]~7 , GLOBAL(\clkz_in~dataout ), , , \always11~20 , , )
47648
 
47649
	.dataa(\always11~20 ),
47650
	.datab(vcc),
47651
	.datac(vcc),
47652
	.datad(d_0),
47653
	.aclr(gnd),
47654
	.aload(gnd),
47655
	.clk(zclk),
47656
	.cin(gnd),
47657
	.cascin(vcc),
47658
	.devclrn(devclrn),
47659
	.devpor(devpor),
47660
	.combout(),
47661
	.regout(idewrreg[0]),
47662
	.cout(),
47663
	.cascout());
47664
// synopsys translate_off
47665
defparam \idewrreg[0]~I .clock_enable_mode = "true";
47666
defparam \idewrreg[0]~I .lut_mask = "ff00";
47667
defparam \idewrreg[0]~I .operation_mode = "normal";
47668
defparam \idewrreg[0]~I .output_mode = "reg_only";
47669
defparam \idewrreg[0]~I .packed_mode = "false";
47670
// synopsys translate_on
47671
 
47672
// atom is at LC1_B9
47673
flex10ke_lcell \idewrreg[1]~I (
47674
// Equation(s):
47675
// idewrreg[1] = DFFEA(\d[1]~6 , GLOBAL(\clkz_in~dataout ), , , \always11~20 , , )
47676
 
47677
	.dataa(\always11~20 ),
47678
	.datab(vcc),
47679
	.datac(vcc),
47680
	.datad(d_1),
47681
	.aclr(gnd),
47682
	.aload(gnd),
47683
	.clk(zclk),
47684
	.cin(gnd),
47685
	.cascin(vcc),
47686
	.devclrn(devclrn),
47687
	.devpor(devpor),
47688
	.combout(),
47689
	.regout(idewrreg[1]),
47690
	.cout(),
47691
	.cascout());
47692
// synopsys translate_off
47693
defparam \idewrreg[1]~I .clock_enable_mode = "true";
47694
defparam \idewrreg[1]~I .lut_mask = "ff00";
47695
defparam \idewrreg[1]~I .operation_mode = "normal";
47696
defparam \idewrreg[1]~I .output_mode = "reg_only";
47697
defparam \idewrreg[1]~I .packed_mode = "false";
47698
// synopsys translate_on
47699
 
47700
// atom is at LC4_B12
47701
flex10ke_lcell \idewrreg[2]~I (
47702
// Equation(s):
47703
// idewrreg[2] = DFFEA(\d[2]~5 , GLOBAL(\clkz_in~dataout ), , , \always11~20 , , )
47704
 
47705
	.dataa(\always11~20 ),
47706
	.datab(vcc),
47707
	.datac(vcc),
47708
	.datad(d_2),
47709
	.aclr(gnd),
47710
	.aload(gnd),
47711
	.clk(zclk),
47712
	.cin(gnd),
47713
	.cascin(vcc),
47714
	.devclrn(devclrn),
47715
	.devpor(devpor),
47716
	.combout(),
47717
	.regout(idewrreg[2]),
47718
	.cout(),
47719
	.cascout());
47720
// synopsys translate_off
47721
defparam \idewrreg[2]~I .clock_enable_mode = "true";
47722
defparam \idewrreg[2]~I .lut_mask = "ff00";
47723
defparam \idewrreg[2]~I .operation_mode = "normal";
47724
defparam \idewrreg[2]~I .output_mode = "reg_only";
47725
defparam \idewrreg[2]~I .packed_mode = "false";
47726
// synopsys translate_on
47727
 
47728
// atom is at LC3_B14
47729
flex10ke_lcell \idewrreg[3]~I (
47730
// Equation(s):
47731
// idewrreg[3] = DFFEA(\d[3]~4 , GLOBAL(\clkz_in~dataout ), , , \always11~20 , , )
47732
 
47733
	.dataa(\always11~20 ),
47734
	.datab(vcc),
47735
	.datac(vcc),
47736
	.datad(d_3),
47737
	.aclr(gnd),
47738
	.aload(gnd),
47739
	.clk(zclk),
47740
	.cin(gnd),
47741
	.cascin(vcc),
47742
	.devclrn(devclrn),
47743
	.devpor(devpor),
47744
	.combout(),
47745
	.regout(idewrreg[3]),
47746
	.cout(),
47747
	.cascout());
47748
// synopsys translate_off
47749
defparam \idewrreg[3]~I .clock_enable_mode = "true";
47750
defparam \idewrreg[3]~I .lut_mask = "ff00";
47751
defparam \idewrreg[3]~I .operation_mode = "normal";
47752
defparam \idewrreg[3]~I .output_mode = "reg_only";
47753
defparam \idewrreg[3]~I .packed_mode = "false";
47754
// synopsys translate_on
47755
 
47756
// atom is at LC4_B14
47757
flex10ke_lcell \idewrreg[4]~I (
47758
// Equation(s):
47759
// idewrreg[4] = DFFEA(\d[4]~3 , GLOBAL(\clkz_in~dataout ), , , \always11~20 , , )
47760
 
47761
	.dataa(\always11~20 ),
47762
	.datab(vcc),
47763
	.datac(vcc),
47764
	.datad(d_4),
47765
	.aclr(gnd),
47766
	.aload(gnd),
47767
	.clk(zclk),
47768
	.cin(gnd),
47769
	.cascin(vcc),
47770
	.devclrn(devclrn),
47771
	.devpor(devpor),
47772
	.combout(),
47773
	.regout(idewrreg[4]),
47774
	.cout(),
47775
	.cascout());
47776
// synopsys translate_off
47777
defparam \idewrreg[4]~I .clock_enable_mode = "true";
47778
defparam \idewrreg[4]~I .lut_mask = "ff00";
47779
defparam \idewrreg[4]~I .operation_mode = "normal";
47780
defparam \idewrreg[4]~I .output_mode = "reg_only";
47781
defparam \idewrreg[4]~I .packed_mode = "false";
47782
// synopsys translate_on
47783
 
47784
// atom is at LC1_B16
47785
flex10ke_lcell \idewrreg[5]~I (
47786
// Equation(s):
47787
// idewrreg[5] = DFFEA(\d[5]~2 , GLOBAL(\clkz_in~dataout ), , , \always11~20 , , )
47788
 
47789
	.dataa(\always11~20 ),
47790
	.datab(vcc),
47791
	.datac(vcc),
47792
	.datad(d_5),
47793
	.aclr(gnd),
47794
	.aload(gnd),
47795
	.clk(zclk),
47796
	.cin(gnd),
47797
	.cascin(vcc),
47798
	.devclrn(devclrn),
47799
	.devpor(devpor),
47800
	.combout(),
47801
	.regout(idewrreg[5]),
47802
	.cout(),
47803
	.cascout());
47804
// synopsys translate_off
47805
defparam \idewrreg[5]~I .clock_enable_mode = "true";
47806
defparam \idewrreg[5]~I .lut_mask = "ff00";
47807
defparam \idewrreg[5]~I .operation_mode = "normal";
47808
defparam \idewrreg[5]~I .output_mode = "reg_only";
47809
defparam \idewrreg[5]~I .packed_mode = "false";
47810
// synopsys translate_on
47811
 
47812
// atom is at LC3_D20
47813
flex10ke_lcell \idewrreg[6]~I (
47814
// Equation(s):
47815
// idewrreg[6] = DFFEA(\d[6]~1 , GLOBAL(\clkz_in~dataout ), , , \always11~20 , , )
47816
 
47817
	.dataa(\always11~20 ),
47818
	.datab(vcc),
47819
	.datac(vcc),
47820
	.datad(d_6),
47821
	.aclr(gnd),
47822
	.aload(gnd),
47823
	.clk(zclk),
47824
	.cin(gnd),
47825
	.cascin(vcc),
47826
	.devclrn(devclrn),
47827
	.devpor(devpor),
47828
	.combout(),
47829
	.regout(idewrreg[6]),
47830
	.cout(),
47831
	.cascout());
47832
// synopsys translate_off
47833
defparam \idewrreg[6]~I .clock_enable_mode = "true";
47834
defparam \idewrreg[6]~I .lut_mask = "ff00";
47835
defparam \idewrreg[6]~I .operation_mode = "normal";
47836
defparam \idewrreg[6]~I .output_mode = "reg_only";
47837
defparam \idewrreg[6]~I .packed_mode = "false";
47838
// synopsys translate_on
47839
 
47840
// atom is at LC4_D20
47841
flex10ke_lcell \idewrreg[7]~I (
47842
// Equation(s):
47843
// idewrreg[7] = DFFEA(\d[7]~0 , GLOBAL(\clkz_in~dataout ), , , \always11~20 , , )
47844
 
47845
	.dataa(\always11~20 ),
47846
	.datab(vcc),
47847
	.datac(vcc),
47848
	.datad(d_7),
47849
	.aclr(gnd),
47850
	.aload(gnd),
47851
	.clk(zclk),
47852
	.cin(gnd),
47853
	.cascin(vcc),
47854
	.devclrn(devclrn),
47855
	.devpor(devpor),
47856
	.combout(),
47857
	.regout(idewrreg[7]),
47858
	.cout(),
47859
	.cascout());
47860
// synopsys translate_off
47861
defparam \idewrreg[7]~I .clock_enable_mode = "true";
47862
defparam \idewrreg[7]~I .lut_mask = "ff00";
47863
defparam \idewrreg[7]~I .operation_mode = "normal";
47864
defparam \idewrreg[7]~I .output_mode = "reg_only";
47865
defparam \idewrreg[7]~I .packed_mode = "false";
47866
// synopsys translate_on
47867
 
47868
// atom is at LC1_D5
47869
flex10ke_lcell \always10~0_I (
47870
// Equation(s):
47871
// \always10~0  = port_wr & \Equal1~41 
47872
 
47873
	.dataa(vcc),
47874
	.datab(vcc),
47875
	.datac(port_wr),
47876
	.datad(\Equal1~41 ),
47877
	.aclr(gnd),
47878
	.aload(gnd),
47879
	.clk(gnd),
47880
	.cin(gnd),
47881
	.cascin(vcc),
47882
	.devclrn(devclrn),
47883
	.devpor(devpor),
47884
	.combout(\always10~0 ),
47885
	.regout(),
47886
	.cout(),
47887
	.cascout());
47888
// synopsys translate_off
47889
defparam \always10~0_I .clock_enable_mode = "false";
47890
defparam \always10~0_I .lut_mask = "f000";
47891
defparam \always10~0_I .operation_mode = "normal";
47892
defparam \always10~0_I .output_mode = "comb_only";
47893
defparam \always10~0_I .packed_mode = "false";
47894
// synopsys translate_on
47895
 
47896
// atom is at LC1_E22
47897
flex10ke_lcell \idewrreg[8]~I (
47898
// Equation(s):
47899
// idewrreg[8] = DFFEA(\d[0]~7 , GLOBAL(\clkz_in~dataout ), , , \always10~0 , , )
47900
 
47901
	.dataa(\always10~0 ),
47902
	.datab(vcc),
47903
	.datac(vcc),
47904
	.datad(d_0),
47905
	.aclr(gnd),
47906
	.aload(gnd),
47907
	.clk(zclk),
47908
	.cin(gnd),
47909
	.cascin(vcc),
47910
	.devclrn(devclrn),
47911
	.devpor(devpor),
47912
	.combout(),
47913
	.regout(idewrreg[8]),
47914
	.cout(),
47915
	.cascout());
47916
// synopsys translate_off
47917
defparam \idewrreg[8]~I .clock_enable_mode = "true";
47918
defparam \idewrreg[8]~I .lut_mask = "ff00";
47919
defparam \idewrreg[8]~I .operation_mode = "normal";
47920
defparam \idewrreg[8]~I .output_mode = "reg_only";
47921
defparam \idewrreg[8]~I .packed_mode = "false";
47922
// synopsys translate_on
47923
 
47924
// atom is at LC1_E20
47925
flex10ke_lcell \idewrreg[9]~I (
47926
// Equation(s):
47927
// idewrreg[9] = DFFEA(\d[1]~6 , GLOBAL(\clkz_in~dataout ), , , \always10~0 , , )
47928
 
47929
	.dataa(\always10~0 ),
47930
	.datab(vcc),
47931
	.datac(vcc),
47932
	.datad(d_1),
47933
	.aclr(gnd),
47934
	.aload(gnd),
47935
	.clk(zclk),
47936
	.cin(gnd),
47937
	.cascin(vcc),
47938
	.devclrn(devclrn),
47939
	.devpor(devpor),
47940
	.combout(),
47941
	.regout(idewrreg[9]),
47942
	.cout(),
47943
	.cascout());
47944
// synopsys translate_off
47945
defparam \idewrreg[9]~I .clock_enable_mode = "true";
47946
defparam \idewrreg[9]~I .lut_mask = "ff00";
47947
defparam \idewrreg[9]~I .operation_mode = "normal";
47948
defparam \idewrreg[9]~I .output_mode = "reg_only";
47949
defparam \idewrreg[9]~I .packed_mode = "false";
47950
// synopsys translate_on
47951
 
47952
// atom is at LC3_B17
47953
flex10ke_lcell \idewrreg[10]~I (
47954
// Equation(s):
47955
// idewrreg[10] = DFFEA(\d[2]~5 , GLOBAL(\clkz_in~dataout ), , , \always10~0 , , )
47956
 
47957
	.dataa(\always10~0 ),
47958
	.datab(vcc),
47959
	.datac(vcc),
47960
	.datad(d_2),
47961
	.aclr(gnd),
47962
	.aload(gnd),
47963
	.clk(zclk),
47964
	.cin(gnd),
47965
	.cascin(vcc),
47966
	.devclrn(devclrn),
47967
	.devpor(devpor),
47968
	.combout(),
47969
	.regout(idewrreg[10]),
47970
	.cout(),
47971
	.cascout());
47972
// synopsys translate_off
47973
defparam \idewrreg[10]~I .clock_enable_mode = "true";
47974
defparam \idewrreg[10]~I .lut_mask = "ff00";
47975
defparam \idewrreg[10]~I .operation_mode = "normal";
47976
defparam \idewrreg[10]~I .output_mode = "reg_only";
47977
defparam \idewrreg[10]~I .packed_mode = "false";
47978
// synopsys translate_on
47979
 
47980
// atom is at LC1_C15
47981
flex10ke_lcell \idewrreg[11]~I (
47982
// Equation(s):
47983
// idewrreg[11] = DFFEA(\d[3]~4 , GLOBAL(\clkz_in~dataout ), , , \always10~0 , , )
47984
 
47985
	.dataa(\always10~0 ),
47986
	.datab(vcc),
47987
	.datac(vcc),
47988
	.datad(d_3),
47989
	.aclr(gnd),
47990
	.aload(gnd),
47991
	.clk(zclk),
47992
	.cin(gnd),
47993
	.cascin(vcc),
47994
	.devclrn(devclrn),
47995
	.devpor(devpor),
47996
	.combout(),
47997
	.regout(idewrreg[11]),
47998
	.cout(),
47999
	.cascout());
48000
// synopsys translate_off
48001
defparam \idewrreg[11]~I .clock_enable_mode = "true";
48002
defparam \idewrreg[11]~I .lut_mask = "ff00";
48003
defparam \idewrreg[11]~I .operation_mode = "normal";
48004
defparam \idewrreg[11]~I .output_mode = "reg_only";
48005
defparam \idewrreg[11]~I .packed_mode = "false";
48006
// synopsys translate_on
48007
 
48008
// atom is at LC6_B14
48009
flex10ke_lcell \idewrreg[12]~I (
48010
// Equation(s):
48011
// idewrreg[12] = DFFEA(\d[4]~3 , GLOBAL(\clkz_in~dataout ), , , \always10~0 , , )
48012
 
48013
	.dataa(\always10~0 ),
48014
	.datab(vcc),
48015
	.datac(vcc),
48016
	.datad(d_4),
48017
	.aclr(gnd),
48018
	.aload(gnd),
48019
	.clk(zclk),
48020
	.cin(gnd),
48021
	.cascin(vcc),
48022
	.devclrn(devclrn),
48023
	.devpor(devpor),
48024
	.combout(),
48025
	.regout(idewrreg[12]),
48026
	.cout(),
48027
	.cascout());
48028
// synopsys translate_off
48029
defparam \idewrreg[12]~I .clock_enable_mode = "true";
48030
defparam \idewrreg[12]~I .lut_mask = "ff00";
48031
defparam \idewrreg[12]~I .operation_mode = "normal";
48032
defparam \idewrreg[12]~I .output_mode = "reg_only";
48033
defparam \idewrreg[12]~I .packed_mode = "false";
48034
// synopsys translate_on
48035
 
48036
// atom is at LC1_C11
48037
flex10ke_lcell \idewrreg[13]~I (
48038
// Equation(s):
48039
// idewrreg[13] = DFFEA(\d[5]~2 , GLOBAL(\clkz_in~dataout ), , , \always10~0 , , )
48040
 
48041
	.dataa(\always10~0 ),
48042
	.datab(vcc),
48043
	.datac(vcc),
48044
	.datad(d_5),
48045
	.aclr(gnd),
48046
	.aload(gnd),
48047
	.clk(zclk),
48048
	.cin(gnd),
48049
	.cascin(vcc),
48050
	.devclrn(devclrn),
48051
	.devpor(devpor),
48052
	.combout(),
48053
	.regout(idewrreg[13]),
48054
	.cout(),
48055
	.cascout());
48056
// synopsys translate_off
48057
defparam \idewrreg[13]~I .clock_enable_mode = "true";
48058
defparam \idewrreg[13]~I .lut_mask = "ff00";
48059
defparam \idewrreg[13]~I .operation_mode = "normal";
48060
defparam \idewrreg[13]~I .output_mode = "reg_only";
48061
defparam \idewrreg[13]~I .packed_mode = "false";
48062
// synopsys translate_on
48063
 
48064
// atom is at LC1_B10
48065
flex10ke_lcell \idewrreg[14]~I (
48066
// Equation(s):
48067
// idewrreg[14] = DFFEA(\d[6]~1 , GLOBAL(\clkz_in~dataout ), , , \always10~0 , , )
48068
 
48069
	.dataa(\always10~0 ),
48070
	.datab(vcc),
48071
	.datac(vcc),
48072
	.datad(d_6),
48073
	.aclr(gnd),
48074
	.aload(gnd),
48075
	.clk(zclk),
48076
	.cin(gnd),
48077
	.cascin(vcc),
48078
	.devclrn(devclrn),
48079
	.devpor(devpor),
48080
	.combout(),
48081
	.regout(idewrreg[14]),
48082
	.cout(),
48083
	.cascout());
48084
// synopsys translate_off
48085
defparam \idewrreg[14]~I .clock_enable_mode = "true";
48086
defparam \idewrreg[14]~I .lut_mask = "ff00";
48087
defparam \idewrreg[14]~I .operation_mode = "normal";
48088
defparam \idewrreg[14]~I .output_mode = "reg_only";
48089
defparam \idewrreg[14]~I .packed_mode = "false";
48090
// synopsys translate_on
48091
 
48092
// atom is at LC2_B10
48093
flex10ke_lcell \idewrreg[15]~I (
48094
// Equation(s):
48095
// idewrreg[15] = DFFEA(\d[7]~0 , GLOBAL(\clkz_in~dataout ), , , \always10~0 , , )
48096
 
48097
	.dataa(\always10~0 ),
48098
	.datab(vcc),
48099
	.datac(vcc),
48100
	.datad(d_7),
48101
	.aclr(gnd),
48102
	.aload(gnd),
48103
	.clk(zclk),
48104
	.cin(gnd),
48105
	.cascin(vcc),
48106
	.devclrn(devclrn),
48107
	.devpor(devpor),
48108
	.combout(),
48109
	.regout(idewrreg[15]),
48110
	.cout(),
48111
	.cascout());
48112
// synopsys translate_off
48113
defparam \idewrreg[15]~I .clock_enable_mode = "true";
48114
defparam \idewrreg[15]~I .lut_mask = "ff00";
48115
defparam \idewrreg[15]~I .operation_mode = "normal";
48116
defparam \idewrreg[15]~I .output_mode = "reg_only";
48117
defparam \idewrreg[15]~I .packed_mode = "false";
48118
// synopsys translate_on
48119
 
48120
// atom is at LC2_H36
48121
flex10ke_lcell \Selector0~1462_I (
48122
// Equation(s):
48123
// \Selector0~1462  = wait_reg_7 # !\a~dataout [0] # !\a~dataout [1]
48124
 
48125
	.dataa(vcc),
48126
	.datab(a_1),
48127
	.datac(a_0),
48128
	.datad(wait_reg_7),
48129
	.aclr(gnd),
48130
	.aload(gnd),
48131
	.clk(gnd),
48132
	.cin(gnd),
48133
	.cascin(vcc),
48134
	.devclrn(devclrn),
48135
	.devpor(devpor),
48136
	.combout(\Selector0~1462 ),
48137
	.regout(),
48138
	.cout(),
48139
	.cascout());
48140
// synopsys translate_off
48141
defparam \Selector0~1462_I .clock_enable_mode = "false";
48142
defparam \Selector0~1462_I .lut_mask = "ff3f";
48143
defparam \Selector0~1462_I .operation_mode = "normal";
48144
defparam \Selector0~1462_I .output_mode = "comb_only";
48145
defparam \Selector0~1462_I .packed_mode = "false";
48146
// synopsys translate_on
48147
 
48148
// atom is at LC7_H36
48149
flex10ke_lcell \Selector0~1463_I (
48150
// Equation(s):
48151
// \Selector0~1481  = \a~dataout [5] & !\Selector0~1462  # !\a~dataout [5] & (!\ide_d[7]~8  & \Selector7~1856 )
48152
 
48153
	.dataa(\Selector0~1462 ),
48154
	.datab(ide_d_7),
48155
	.datac(a_5),
48156
	.datad(\Selector7~1856 ),
48157
	.aclr(gnd),
48158
	.aload(gnd),
48159
	.clk(gnd),
48160
	.cin(gnd),
48161
	.cascin(vcc),
48162
	.devclrn(devclrn),
48163
	.devpor(devpor),
48164
	.combout(\Selector0~1463 ),
48165
	.regout(),
48166
	.cout(),
48167
	.cascout(\Selector0~1481 ));
48168
// synopsys translate_off
48169
defparam \Selector0~1463_I .clock_enable_mode = "false";
48170
defparam \Selector0~1463_I .lut_mask = "5350";
48171
defparam \Selector0~1463_I .operation_mode = "normal";
48172
defparam \Selector0~1463_I .output_mode = "none";
48173
defparam \Selector0~1463_I .packed_mode = "false";
48174
// synopsys translate_on
48175
 
48176
// atom is at LC3_D24
48177
flex10ke_lcell \dataout~111_I (
48178
// Equation(s):
48179
// \dataout~116  = !\iorq_n~dataout  & !\rd_n~dataout  & (!\Equal17~39  # !pre_bc1)
48180
 
48181
	.dataa(iorq_n),
48182
	.datab(rd_n),
48183
	.datac(pre_bc1),
48184
	.datad(\Equal17~39 ),
48185
	.aclr(gnd),
48186
	.aload(gnd),
48187
	.clk(gnd),
48188
	.cin(gnd),
48189
	.cascin(vcc),
48190
	.devclrn(devclrn),
48191
	.devpor(devpor),
48192
	.combout(\dataout~111 ),
48193
	.regout(),
48194
	.cout(),
48195
	.cascout(\dataout~116 ));
48196
// synopsys translate_off
48197
defparam \dataout~111_I .clock_enable_mode = "false";
48198
defparam \dataout~111_I .lut_mask = "0111";
48199
defparam \dataout~111_I .operation_mode = "normal";
48200
defparam \dataout~111_I .output_mode = "none";
48201
defparam \dataout~111_I .packed_mode = "false";
48202
// synopsys translate_on
48203
 
48204
// atom is at LC7_D4
48205
flex10ke_lcell \idein_lo_rd~25_I (
48206
// Equation(s):
48207
// \idein_lo_rd~25  = !ide_rd_trig & port_rd & \Equal23~24 
48208
 
48209
	.dataa(vcc),
48210
	.datab(ide_rd_trig),
48211
	.datac(port_rd),
48212
	.datad(\Equal23~24 ),
48213
	.aclr(gnd),
48214
	.aload(gnd),
48215
	.clk(gnd),
48216
	.cin(gnd),
48217
	.cascin(vcc),
48218
	.devclrn(devclrn),
48219
	.devpor(devpor),
48220
	.combout(\idein_lo_rd~25 ),
48221
	.regout(),
48222
	.cout(),
48223
	.cascout());
48224
// synopsys translate_off
48225
defparam \idein_lo_rd~25_I .clock_enable_mode = "false";
48226
defparam \idein_lo_rd~25_I .lut_mask = "3000";
48227
defparam \idein_lo_rd~25_I .operation_mode = "normal";
48228
defparam \idein_lo_rd~25_I .output_mode = "comb_only";
48229
defparam \idein_lo_rd~25_I .packed_mode = "false";
48230
// synopsys translate_on
48231
 
48232
// atom is at LC6_H3
48233
flex10ke_lcell \idehiin[0]~I (
48234
// Equation(s):
48235
// idehiin[0] = DFFEA(\ide_d[8]~7 , GLOBAL(\clkz_in~dataout ), , , \idein_lo_rd~25 , , )
48236
 
48237
	.dataa(\idein_lo_rd~25 ),
48238
	.datab(vcc),
48239
	.datac(vcc),
48240
	.datad(ide_d_8),
48241
	.aclr(gnd),
48242
	.aload(gnd),
48243
	.clk(zclk),
48244
	.cin(gnd),
48245
	.cascin(vcc),
48246
	.devclrn(devclrn),
48247
	.devpor(devpor),
48248
	.combout(),
48249
	.regout(idehiin[0]),
48250
	.cout(),
48251
	.cascout());
48252
// synopsys translate_off
48253
defparam \idehiin[0]~I .clock_enable_mode = "true";
48254
defparam \idehiin[0]~I .lut_mask = "ff00";
48255
defparam \idehiin[0]~I .operation_mode = "normal";
48256
defparam \idehiin[0]~I .output_mode = "reg_only";
48257
defparam \idehiin[0]~I .packed_mode = "false";
48258
// synopsys translate_on
48259
 
48260
// atom is at LC8_H5
48261
flex10ke_lcell \Selector7~1876_I (
48262
// Equation(s):
48263
// \Selector7~1876  = !\a~dataout [2] & \a~dataout [0] & (idehiin[0] # !\Equal19~29 )
48264
 
48265
	.dataa(a_2),
48266
	.datab(\Equal19~29 ),
48267
	.datac(idehiin[0]),
48268
	.datad(a_0),
48269
	.aclr(gnd),
48270
	.aload(gnd),
48271
	.clk(gnd),
48272
	.cin(gnd),
48273
	.cascin(vcc),
48274
	.devclrn(devclrn),
48275
	.devpor(devpor),
48276
	.combout(\Selector7~1876 ),
48277
	.regout(),
48278
	.cout(),
48279
	.cascout());
48280
// synopsys translate_off
48281
defparam \Selector7~1876_I .clock_enable_mode = "false";
48282
defparam \Selector7~1876_I .lut_mask = "5100";
48283
defparam \Selector7~1876_I .operation_mode = "normal";
48284
defparam \Selector7~1876_I .output_mode = "comb_only";
48285
defparam \Selector7~1876_I .packed_mode = "false";
48286
// synopsys translate_on
48287
 
48288
// atom is at LC2_H6
48289
flex10ke_lcell \Selector7~1874_I (
48290
// Equation(s):
48291
// \Selector7~1874  = !\a~dataout [7] & !\a~dataout [5] & dout_0 # !\Selector7~1873 
48292
 
48293
	.dataa(\Selector7~1873 ),
48294
	.datab(a_7),
48295
	.datac(a_5),
48296
	.datad(dout_0),
48297
	.aclr(gnd),
48298
	.aload(gnd),
48299
	.clk(gnd),
48300
	.cin(gnd),
48301
	.cascin(vcc),
48302
	.devclrn(devclrn),
48303
	.devpor(devpor),
48304
	.combout(\Selector7~1874 ),
48305
	.regout(),
48306
	.cout(),
48307
	.cascout());
48308
// synopsys translate_off
48309
defparam \Selector7~1874_I .clock_enable_mode = "false";
48310
defparam \Selector7~1874_I .lut_mask = "5755";
48311
defparam \Selector7~1874_I .operation_mode = "normal";
48312
defparam \Selector7~1874_I .output_mode = "comb_only";
48313
defparam \Selector7~1874_I .packed_mode = "false";
48314
// synopsys translate_on
48315
 
48316
// atom is at LC3_H11
48317
flex10ke_lcell \Selector7~1875_I (
48318
// Equation(s):
48319
// \Selector7~1875  = \Selector7~1874  # \Equal0~44  & (wait_reg_0 # !\always6~2 )
48320
 
48321
	.dataa(\always6~2 ),
48322
	.datab(wait_reg_0),
48323
	.datac(\Equal0~44 ),
48324
	.datad(\Selector7~1874 ),
48325
	.aclr(gnd),
48326
	.aload(gnd),
48327
	.clk(gnd),
48328
	.cin(gnd),
48329
	.cascin(vcc),
48330
	.devclrn(devclrn),
48331
	.devpor(devpor),
48332
	.combout(\Selector7~1875 ),
48333
	.regout(),
48334
	.cout(),
48335
	.cascout());
48336
// synopsys translate_off
48337
defparam \Selector7~1875_I .clock_enable_mode = "false";
48338
defparam \Selector7~1875_I .lut_mask = "ffd0";
48339
defparam \Selector7~1875_I .operation_mode = "normal";
48340
defparam \Selector7~1875_I .output_mode = "comb_only";
48341
defparam \Selector7~1875_I .packed_mode = "false";
48342
// synopsys translate_on
48343
 
48344
// atom is at LC6_H5
48345
flex10ke_lcell \Selector7~1877_I (
48346
// Equation(s):
48347
// \Selector7~1924  = !\Selector7~1876  & (\a~dataout [1] & !\Selector7~1875  & \a~dataout [2] # !\a~dataout [1] & (!\a~dataout [2]))
48348
 
48349
	.dataa(\Selector7~1876 ),
48350
	.datab(\Selector7~1875 ),
48351
	.datac(a_1),
48352
	.datad(a_2),
48353
	.aclr(gnd),
48354
	.aload(gnd),
48355
	.clk(gnd),
48356
	.cin(gnd),
48357
	.cascin(vcc),
48358
	.devclrn(devclrn),
48359
	.devpor(devpor),
48360
	.combout(\Selector7~1877 ),
48361
	.regout(),
48362
	.cout(),
48363
	.cascout(\Selector7~1924 ));
48364
// synopsys translate_off
48365
defparam \Selector7~1877_I .clock_enable_mode = "false";
48366
defparam \Selector7~1877_I .lut_mask = "1005";
48367
defparam \Selector7~1877_I .operation_mode = "normal";
48368
defparam \Selector7~1877_I .output_mode = "none";
48369
defparam \Selector7~1877_I .packed_mode = "false";
48370
// synopsys translate_on
48371
 
48372
// atom is at LC6_D26
48373
flex10ke_lcell \Equal1~42_I (
48374
// Equation(s):
48375
// \Equal1~42  = !\a~dataout [7] & !\a~dataout [5]
48376
 
48377
	.dataa(vcc),
48378
	.datab(vcc),
48379
	.datac(a_7),
48380
	.datad(a_5),
48381
	.aclr(gnd),
48382
	.aload(gnd),
48383
	.clk(gnd),
48384
	.cin(gnd),
48385
	.cascin(vcc),
48386
	.devclrn(devclrn),
48387
	.devpor(devpor),
48388
	.combout(\Equal1~42 ),
48389
	.regout(),
48390
	.cout(),
48391
	.cascout());
48392
// synopsys translate_off
48393
defparam \Equal1~42_I .clock_enable_mode = "false";
48394
defparam \Equal1~42_I .lut_mask = "000f";
48395
defparam \Equal1~42_I .operation_mode = "normal";
48396
defparam \Equal1~42_I .output_mode = "comb_only";
48397
defparam \Equal1~42_I .packed_mode = "false";
48398
// synopsys translate_on
48399
 
48400
// atom is at LC2_I32
48401
flex10ke_lcell \Selector7~1895_I (
48402
// Equation(s):
48403
// \Selector7~1937  = kbd_32 & \a~dataout [8] & (\a~dataout [13] # !kbd_37) # !kbd_32 & (\a~dataout [13] # !kbd_37)
48404
 
48405
	.dataa(kbd_32),
48406
	.datab(kbd_37),
48407
	.datac(a_13),
48408
	.datad(a_8),
48409
	.aclr(gnd),
48410
	.aload(gnd),
48411
	.clk(gnd),
48412
	.cin(gnd),
48413
	.cascin(vcc),
48414
	.devclrn(devclrn),
48415
	.devpor(devpor),
48416
	.combout(\Selector7~1895 ),
48417
	.regout(),
48418
	.cout(),
48419
	.cascout(\Selector7~1937 ));
48420
// synopsys translate_off
48421
defparam \Selector7~1895_I .clock_enable_mode = "false";
48422
defparam \Selector7~1895_I .lut_mask = "f351";
48423
defparam \Selector7~1895_I .operation_mode = "normal";
48424
defparam \Selector7~1895_I .output_mode = "none";
48425
defparam \Selector7~1895_I .packed_mode = "false";
48426
// synopsys translate_on
48427
 
48428
// atom is at LC3_I32
48429
flex10ke_lcell \Selector7~1901_I (
48430
// Equation(s):
48431
// \Selector7~1901  = (kbd_36 & \a~dataout [12] & (\a~dataout [11] # !kbd_35) # !kbd_36 & (\a~dataout [11] # !kbd_35)) & CASCADE(\Selector7~1937 )
48432
 
48433
	.dataa(kbd_36),
48434
	.datab(kbd_35),
48435
	.datac(a_11),
48436
	.datad(a_12),
48437
	.aclr(gnd),
48438
	.aload(gnd),
48439
	.clk(gnd),
48440
	.cin(gnd),
48441
	.cascin(\Selector7~1937 ),
48442
	.devclrn(devclrn),
48443
	.devpor(devpor),
48444
	.combout(\Selector7~1901 ),
48445
	.regout(),
48446
	.cout(),
48447
	.cascout());
48448
// synopsys translate_off
48449
defparam \Selector7~1901_I .clock_enable_mode = "false";
48450
defparam \Selector7~1901_I .lut_mask = "f351";
48451
defparam \Selector7~1901_I .operation_mode = "normal";
48452
defparam \Selector7~1901_I .output_mode = "comb_only";
48453
defparam \Selector7~1901_I .packed_mode = "false";
48454
// synopsys translate_on
48455
 
48456
// atom is at LC3_I30
48457
flex10ke_lcell \Selector7~1893_I (
48458
// Equation(s):
48459
// \Selector7~1934  = kbd_39 & \a~dataout [15] & (\a~dataout [10] # !kbd_34) # !kbd_39 & (\a~dataout [10] # !kbd_34)
48460
 
48461
	.dataa(kbd_39),
48462
	.datab(kbd_34),
48463
	.datac(a_10),
48464
	.datad(a_15),
48465
	.aclr(gnd),
48466
	.aload(gnd),
48467
	.clk(gnd),
48468
	.cin(gnd),
48469
	.cascin(vcc),
48470
	.devclrn(devclrn),
48471
	.devpor(devpor),
48472
	.combout(\Selector7~1893 ),
48473
	.regout(),
48474
	.cout(),
48475
	.cascout(\Selector7~1934 ));
48476
// synopsys translate_off
48477
defparam \Selector7~1893_I .clock_enable_mode = "false";
48478
defparam \Selector7~1893_I .lut_mask = "f351";
48479
defparam \Selector7~1893_I .operation_mode = "normal";
48480
defparam \Selector7~1893_I .output_mode = "none";
48481
defparam \Selector7~1893_I .packed_mode = "false";
48482
// synopsys translate_on
48483
 
48484
// atom is at LC4_I30
48485
flex10ke_lcell \Selector7~1900_I (
48486
// Equation(s):
48487
// \Selector7~1900  = (kbd_38 & \a~dataout [14] & (\a~dataout [9] # !kbd_33) # !kbd_38 & (\a~dataout [9] # !kbd_33)) & CASCADE(\Selector7~1934 )
48488
 
48489
	.dataa(kbd_38),
48490
	.datab(kbd_33),
48491
	.datac(a_9),
48492
	.datad(a_14),
48493
	.aclr(gnd),
48494
	.aload(gnd),
48495
	.clk(gnd),
48496
	.cin(gnd),
48497
	.cascin(\Selector7~1934 ),
48498
	.devclrn(devclrn),
48499
	.devpor(devpor),
48500
	.combout(\Selector7~1900 ),
48501
	.regout(),
48502
	.cout(),
48503
	.cascout());
48504
// synopsys translate_off
48505
defparam \Selector7~1900_I .clock_enable_mode = "false";
48506
defparam \Selector7~1900_I .lut_mask = "f351";
48507
defparam \Selector7~1900_I .operation_mode = "normal";
48508
defparam \Selector7~1900_I .output_mode = "comb_only";
48509
defparam \Selector7~1900_I .packed_mode = "false";
48510
// synopsys translate_on
48511
 
48512
// atom is at LC1_I32
48513
flex10ke_lcell \Selector7~1884_I (
48514
// Equation(s):
48515
// \Selector7~1884  = \a~dataout [5] & (\Selector7~1901  & \Selector7~1900  # !\Selector7~1883 )
48516
 
48517
	.dataa(\Selector7~1883 ),
48518
	.datab(\Selector7~1901 ),
48519
	.datac(\Selector7~1900 ),
48520
	.datad(a_5),
48521
	.aclr(gnd),
48522
	.aload(gnd),
48523
	.clk(gnd),
48524
	.cin(gnd),
48525
	.cascin(vcc),
48526
	.devclrn(devclrn),
48527
	.devpor(devpor),
48528
	.combout(\Selector7~1884 ),
48529
	.regout(),
48530
	.cout(),
48531
	.cascout());
48532
// synopsys translate_off
48533
defparam \Selector7~1884_I .clock_enable_mode = "false";
48534
defparam \Selector7~1884_I .lut_mask = "d500";
48535
defparam \Selector7~1884_I .operation_mode = "normal";
48536
defparam \Selector7~1884_I .output_mode = "comb_only";
48537
defparam \Selector7~1884_I .packed_mode = "false";
48538
// synopsys translate_on
48539
 
48540
// atom is at LC3_E35
48541
flex10ke_lcell \Selector7~1879_I (
48542
// Equation(s):
48543
// \Selector7~1879  = \a~dataout [10] & (musy_0) # !\a~dataout [10] & musx_0
48544
 
48545
	.dataa(vcc),
48546
	.datab(a_10),
48547
	.datac(musx_0),
48548
	.datad(musy_0),
48549
	.aclr(gnd),
48550
	.aload(gnd),
48551
	.clk(gnd),
48552
	.cin(gnd),
48553
	.cascin(vcc),
48554
	.devclrn(devclrn),
48555
	.devpor(devpor),
48556
	.combout(\Selector7~1879 ),
48557
	.regout(),
48558
	.cout(),
48559
	.cascout());
48560
// synopsys translate_off
48561
defparam \Selector7~1879_I .clock_enable_mode = "false";
48562
defparam \Selector7~1879_I .lut_mask = "fc30";
48563
defparam \Selector7~1879_I .operation_mode = "normal";
48564
defparam \Selector7~1879_I .output_mode = "comb_only";
48565
defparam \Selector7~1879_I .packed_mode = "false";
48566
// synopsys translate_on
48567
 
48568
// atom is at LC7_E35
48569
flex10ke_lcell \Selector7~1880_I (
48570
// Equation(s):
48571
// \Selector7~1880  = \a~dataout [8] & (\Selector7~1879 ) # !\a~dataout [8] & musbtn_0 # !\Selector7~1861 
48572
 
48573
	.dataa(\Selector7~1861 ),
48574
	.datab(a_8),
48575
	.datac(musbtn_0),
48576
	.datad(\Selector7~1879 ),
48577
	.aclr(gnd),
48578
	.aload(gnd),
48579
	.clk(gnd),
48580
	.cin(gnd),
48581
	.cascin(vcc),
48582
	.devclrn(devclrn),
48583
	.devpor(devpor),
48584
	.combout(\Selector7~1880 ),
48585
	.regout(),
48586
	.cout(),
48587
	.cascout());
48588
// synopsys translate_off
48589
defparam \Selector7~1880_I .clock_enable_mode = "false";
48590
defparam \Selector7~1880_I .lut_mask = "fd75";
48591
defparam \Selector7~1880_I .operation_mode = "normal";
48592
defparam \Selector7~1880_I .output_mode = "comb_only";
48593
defparam \Selector7~1880_I .packed_mode = "false";
48594
// synopsys translate_on
48595
 
48596
// atom is at LC5_E35
48597
flex10ke_lcell \Selector7~1885_I (
48598
// Equation(s):
48599
// \Selector7~1931  = !\Selector7~1884  & \Equal0~46  & (!\Equal9~59  # !\Selector7~1880 )
48600
 
48601
	.dataa(\Selector7~1884 ),
48602
	.datab(\Selector7~1880 ),
48603
	.datac(\Equal9~59 ),
48604
	.datad(\Equal0~46 ),
48605
	.aclr(gnd),
48606
	.aload(gnd),
48607
	.clk(gnd),
48608
	.cin(gnd),
48609
	.cascin(vcc),
48610
	.devclrn(devclrn),
48611
	.devpor(devpor),
48612
	.combout(\Selector7~1885 ),
48613
	.regout(),
48614
	.cout(),
48615
	.cascout(\Selector7~1931 ));
48616
// synopsys translate_off
48617
defparam \Selector7~1885_I .clock_enable_mode = "false";
48618
defparam \Selector7~1885_I .lut_mask = "1500";
48619
defparam \Selector7~1885_I .operation_mode = "normal";
48620
defparam \Selector7~1885_I .output_mode = "none";
48621
defparam \Selector7~1885_I .packed_mode = "false";
48622
// synopsys translate_on
48623
 
48624
// atom is at LC3_H32
48625
flex10ke_lcell \idehiin[1]~I (
48626
// Equation(s):
48627
// idehiin[1] = DFFEA(\ide_d[9]~6 , GLOBAL(\clkz_in~dataout ), , , \idein_lo_rd~25 , , )
48628
 
48629
	.dataa(\idein_lo_rd~25 ),
48630
	.datab(vcc),
48631
	.datac(vcc),
48632
	.datad(ide_d_9),
48633
	.aclr(gnd),
48634
	.aload(gnd),
48635
	.clk(zclk),
48636
	.cin(gnd),
48637
	.cascin(vcc),
48638
	.devclrn(devclrn),
48639
	.devpor(devpor),
48640
	.combout(),
48641
	.regout(idehiin[1]),
48642
	.cout(),
48643
	.cascout());
48644
// synopsys translate_off
48645
defparam \idehiin[1]~I .clock_enable_mode = "true";
48646
defparam \idehiin[1]~I .lut_mask = "ff00";
48647
defparam \idehiin[1]~I .operation_mode = "normal";
48648
defparam \idehiin[1]~I .output_mode = "reg_only";
48649
defparam \idehiin[1]~I .packed_mode = "false";
48650
// synopsys translate_on
48651
 
48652
// atom is at LC4_H32
48653
flex10ke_lcell \Selector6~1387_I (
48654
// Equation(s):
48655
// \Selector6~1387  = !\a~dataout [2] & \a~dataout [0] & (idehiin[1] # !\Equal19~29 )
48656
 
48657
	.dataa(a_2),
48658
	.datab(\Equal19~29 ),
48659
	.datac(idehiin[1]),
48660
	.datad(a_0),
48661
	.aclr(gnd),
48662
	.aload(gnd),
48663
	.clk(gnd),
48664
	.cin(gnd),
48665
	.cascin(vcc),
48666
	.devclrn(devclrn),
48667
	.devpor(devpor),
48668
	.combout(\Selector6~1387 ),
48669
	.regout(),
48670
	.cout(),
48671
	.cascout());
48672
// synopsys translate_off
48673
defparam \Selector6~1387_I .clock_enable_mode = "false";
48674
defparam \Selector6~1387_I .lut_mask = "5100";
48675
defparam \Selector6~1387_I .operation_mode = "normal";
48676
defparam \Selector6~1387_I .output_mode = "comb_only";
48677
defparam \Selector6~1387_I .packed_mode = "false";
48678
// synopsys translate_on
48679
 
48680
// atom is at LC1_H11
48681
flex10ke_lcell \Selector6~1385_I (
48682
// Equation(s):
48683
// \Selector6~1385  = !\a~dataout [7] & !\a~dataout [5] & dout_1 # !\Selector7~1873 
48684
 
48685
	.dataa(\Selector7~1873 ),
48686
	.datab(a_7),
48687
	.datac(a_5),
48688
	.datad(dout_1),
48689
	.aclr(gnd),
48690
	.aload(gnd),
48691
	.clk(gnd),
48692
	.cin(gnd),
48693
	.cascin(vcc),
48694
	.devclrn(devclrn),
48695
	.devpor(devpor),
48696
	.combout(\Selector6~1385 ),
48697
	.regout(),
48698
	.cout(),
48699
	.cascout());
48700
// synopsys translate_off
48701
defparam \Selector6~1385_I .clock_enable_mode = "false";
48702
defparam \Selector6~1385_I .lut_mask = "5755";
48703
defparam \Selector6~1385_I .operation_mode = "normal";
48704
defparam \Selector6~1385_I .output_mode = "comb_only";
48705
defparam \Selector6~1385_I .packed_mode = "false";
48706
// synopsys translate_on
48707
 
48708
// atom is at LC7_H11
48709
flex10ke_lcell \Selector6~1386_I (
48710
// Equation(s):
48711
// \Selector6~1386  = \Selector6~1385  # \Equal0~44  & (wait_reg_1 # !\always6~2 )
48712
 
48713
	.dataa(\always6~2 ),
48714
	.datab(wait_reg_1),
48715
	.datac(\Equal0~44 ),
48716
	.datad(\Selector6~1385 ),
48717
	.aclr(gnd),
48718
	.aload(gnd),
48719
	.clk(gnd),
48720
	.cin(gnd),
48721
	.cascin(vcc),
48722
	.devclrn(devclrn),
48723
	.devpor(devpor),
48724
	.combout(\Selector6~1386 ),
48725
	.regout(),
48726
	.cout(),
48727
	.cascout());
48728
// synopsys translate_off
48729
defparam \Selector6~1386_I .clock_enable_mode = "false";
48730
defparam \Selector6~1386_I .lut_mask = "ffd0";
48731
defparam \Selector6~1386_I .operation_mode = "normal";
48732
defparam \Selector6~1386_I .output_mode = "comb_only";
48733
defparam \Selector6~1386_I .packed_mode = "false";
48734
// synopsys translate_on
48735
 
48736
// atom is at LC6_H32
48737
flex10ke_lcell \Selector6~1388_I (
48738
// Equation(s):
48739
// \Selector6~1424  = !\Selector6~1387  & (\a~dataout [1] & !\Selector6~1386  & \a~dataout [2] # !\a~dataout [1] & (!\a~dataout [2]))
48740
 
48741
	.dataa(\Selector6~1387 ),
48742
	.datab(\Selector6~1386 ),
48743
	.datac(a_1),
48744
	.datad(a_2),
48745
	.aclr(gnd),
48746
	.aload(gnd),
48747
	.clk(gnd),
48748
	.cin(gnd),
48749
	.cascin(vcc),
48750
	.devclrn(devclrn),
48751
	.devpor(devpor),
48752
	.combout(\Selector6~1388 ),
48753
	.regout(),
48754
	.cout(),
48755
	.cascout(\Selector6~1424 ));
48756
// synopsys translate_off
48757
defparam \Selector6~1388_I .clock_enable_mode = "false";
48758
defparam \Selector6~1388_I .lut_mask = "1005";
48759
defparam \Selector6~1388_I .operation_mode = "normal";
48760
defparam \Selector6~1388_I .output_mode = "none";
48761
defparam \Selector6~1388_I .packed_mode = "false";
48762
// synopsys translate_on
48763
 
48764
// atom is at LC4_I35
48765
flex10ke_lcell \Selector6~1405_I (
48766
// Equation(s):
48767
// \Selector6~1436  = kbd_24 & \a~dataout [8] & (\a~dataout [13] # !kbd_29) # !kbd_24 & (\a~dataout [13] # !kbd_29)
48768
 
48769
	.dataa(kbd_24),
48770
	.datab(kbd_29),
48771
	.datac(a_13),
48772
	.datad(a_8),
48773
	.aclr(gnd),
48774
	.aload(gnd),
48775
	.clk(gnd),
48776
	.cin(gnd),
48777
	.cascin(vcc),
48778
	.devclrn(devclrn),
48779
	.devpor(devpor),
48780
	.combout(\Selector6~1405 ),
48781
	.regout(),
48782
	.cout(),
48783
	.cascout(\Selector6~1436 ));
48784
// synopsys translate_off
48785
defparam \Selector6~1405_I .clock_enable_mode = "false";
48786
defparam \Selector6~1405_I .lut_mask = "f351";
48787
defparam \Selector6~1405_I .operation_mode = "normal";
48788
defparam \Selector6~1405_I .output_mode = "none";
48789
defparam \Selector6~1405_I .packed_mode = "false";
48790
// synopsys translate_on
48791
 
48792
// atom is at LC5_I35
48793
flex10ke_lcell \Selector6~1411_I (
48794
// Equation(s):
48795
// \Selector6~1411  = (kbd_28 & \a~dataout [12] & (\a~dataout [11] # !kbd_27) # !kbd_28 & (\a~dataout [11] # !kbd_27)) & CASCADE(\Selector6~1436 )
48796
 
48797
	.dataa(kbd_28),
48798
	.datab(kbd_27),
48799
	.datac(a_11),
48800
	.datad(a_12),
48801
	.aclr(gnd),
48802
	.aload(gnd),
48803
	.clk(gnd),
48804
	.cin(gnd),
48805
	.cascin(\Selector6~1436 ),
48806
	.devclrn(devclrn),
48807
	.devpor(devpor),
48808
	.combout(\Selector6~1411 ),
48809
	.regout(),
48810
	.cout(),
48811
	.cascout());
48812
// synopsys translate_off
48813
defparam \Selector6~1411_I .clock_enable_mode = "false";
48814
defparam \Selector6~1411_I .lut_mask = "f351";
48815
defparam \Selector6~1411_I .operation_mode = "normal";
48816
defparam \Selector6~1411_I .output_mode = "comb_only";
48817
defparam \Selector6~1411_I .packed_mode = "false";
48818
// synopsys translate_on
48819
 
48820
// atom is at LC1_I36
48821
flex10ke_lcell \Selector6~1403_I (
48822
// Equation(s):
48823
// \Selector6~1433  = kbd_31 & \a~dataout [15] & (\a~dataout [10] # !kbd_26) # !kbd_31 & (\a~dataout [10] # !kbd_26)
48824
 
48825
	.dataa(kbd_31),
48826
	.datab(kbd_26),
48827
	.datac(a_10),
48828
	.datad(a_15),
48829
	.aclr(gnd),
48830
	.aload(gnd),
48831
	.clk(gnd),
48832
	.cin(gnd),
48833
	.cascin(vcc),
48834
	.devclrn(devclrn),
48835
	.devpor(devpor),
48836
	.combout(\Selector6~1403 ),
48837
	.regout(),
48838
	.cout(),
48839
	.cascout(\Selector6~1433 ));
48840
// synopsys translate_off
48841
defparam \Selector6~1403_I .clock_enable_mode = "false";
48842
defparam \Selector6~1403_I .lut_mask = "f351";
48843
defparam \Selector6~1403_I .operation_mode = "normal";
48844
defparam \Selector6~1403_I .output_mode = "none";
48845
defparam \Selector6~1403_I .packed_mode = "false";
48846
// synopsys translate_on
48847
 
48848
// atom is at LC2_I36
48849
flex10ke_lcell \Selector6~1410_I (
48850
// Equation(s):
48851
// \Selector6~1410  = (kbd_30 & \a~dataout [14] & (\a~dataout [9] # !kbd_25) # !kbd_30 & (\a~dataout [9] # !kbd_25)) & CASCADE(\Selector6~1433 )
48852
 
48853
	.dataa(kbd_30),
48854
	.datab(kbd_25),
48855
	.datac(a_9),
48856
	.datad(a_14),
48857
	.aclr(gnd),
48858
	.aload(gnd),
48859
	.clk(gnd),
48860
	.cin(gnd),
48861
	.cascin(\Selector6~1433 ),
48862
	.devclrn(devclrn),
48863
	.devpor(devpor),
48864
	.combout(\Selector6~1410 ),
48865
	.regout(),
48866
	.cout(),
48867
	.cascout());
48868
// synopsys translate_off
48869
defparam \Selector6~1410_I .clock_enable_mode = "false";
48870
defparam \Selector6~1410_I .lut_mask = "f351";
48871
defparam \Selector6~1410_I .operation_mode = "normal";
48872
defparam \Selector6~1410_I .output_mode = "comb_only";
48873
defparam \Selector6~1410_I .packed_mode = "false";
48874
// synopsys translate_on
48875
 
48876
// atom is at LC6_I36
48877
flex10ke_lcell \Selector6~1394_I (
48878
// Equation(s):
48879
// \Selector6~1394  = \a~dataout [5] & (\Selector6~1411  & \Selector6~1410  # !\Selector7~1883 )
48880
 
48881
	.dataa(\Selector7~1883 ),
48882
	.datab(\Selector6~1411 ),
48883
	.datac(\Selector6~1410 ),
48884
	.datad(a_5),
48885
	.aclr(gnd),
48886
	.aload(gnd),
48887
	.clk(gnd),
48888
	.cin(gnd),
48889
	.cascin(vcc),
48890
	.devclrn(devclrn),
48891
	.devpor(devpor),
48892
	.combout(\Selector6~1394 ),
48893
	.regout(),
48894
	.cout(),
48895
	.cascout());
48896
// synopsys translate_off
48897
defparam \Selector6~1394_I .clock_enable_mode = "false";
48898
defparam \Selector6~1394_I .lut_mask = "d500";
48899
defparam \Selector6~1394_I .operation_mode = "normal";
48900
defparam \Selector6~1394_I .output_mode = "comb_only";
48901
defparam \Selector6~1394_I .packed_mode = "false";
48902
// synopsys translate_on
48903
 
48904
// atom is at LC1_E31
48905
flex10ke_lcell \Selector6~1390_I (
48906
// Equation(s):
48907
// \Selector6~1390  = \a~dataout [10] & (musy_1) # !\a~dataout [10] & musx_1
48908
 
48909
	.dataa(vcc),
48910
	.datab(a_10),
48911
	.datac(musx_1),
48912
	.datad(musy_1),
48913
	.aclr(gnd),
48914
	.aload(gnd),
48915
	.clk(gnd),
48916
	.cin(gnd),
48917
	.cascin(vcc),
48918
	.devclrn(devclrn),
48919
	.devpor(devpor),
48920
	.combout(\Selector6~1390 ),
48921
	.regout(),
48922
	.cout(),
48923
	.cascout());
48924
// synopsys translate_off
48925
defparam \Selector6~1390_I .clock_enable_mode = "false";
48926
defparam \Selector6~1390_I .lut_mask = "fc30";
48927
defparam \Selector6~1390_I .operation_mode = "normal";
48928
defparam \Selector6~1390_I .output_mode = "comb_only";
48929
defparam \Selector6~1390_I .packed_mode = "false";
48930
// synopsys translate_on
48931
 
48932
// atom is at LC7_I32
48933
flex10ke_lcell \Selector6~1391_I (
48934
// Equation(s):
48935
// \Selector6~1391  = \a~dataout [8] & (\Selector6~1390 ) # !\a~dataout [8] & musbtn_1 # !\Selector7~1861 
48936
 
48937
	.dataa(\Selector7~1861 ),
48938
	.datab(a_8),
48939
	.datac(musbtn_1),
48940
	.datad(\Selector6~1390 ),
48941
	.aclr(gnd),
48942
	.aload(gnd),
48943
	.clk(gnd),
48944
	.cin(gnd),
48945
	.cascin(vcc),
48946
	.devclrn(devclrn),
48947
	.devpor(devpor),
48948
	.combout(\Selector6~1391 ),
48949
	.regout(),
48950
	.cout(),
48951
	.cascout());
48952
// synopsys translate_off
48953
defparam \Selector6~1391_I .clock_enable_mode = "false";
48954
defparam \Selector6~1391_I .lut_mask = "fd75";
48955
defparam \Selector6~1391_I .operation_mode = "normal";
48956
defparam \Selector6~1391_I .output_mode = "comb_only";
48957
defparam \Selector6~1391_I .packed_mode = "false";
48958
// synopsys translate_on
48959
 
48960
// atom is at LC1_H33
48961
flex10ke_lcell \Selector6~1395_I (
48962
// Equation(s):
48963
// \Selector6~1430  = !\Selector6~1394  & \Equal0~46  & (!\Equal9~59  # !\Selector6~1391 )
48964
 
48965
	.dataa(\Selector6~1394 ),
48966
	.datab(\Selector6~1391 ),
48967
	.datac(\Equal9~59 ),
48968
	.datad(\Equal0~46 ),
48969
	.aclr(gnd),
48970
	.aload(gnd),
48971
	.clk(gnd),
48972
	.cin(gnd),
48973
	.cascin(vcc),
48974
	.devclrn(devclrn),
48975
	.devpor(devpor),
48976
	.combout(\Selector6~1395 ),
48977
	.regout(),
48978
	.cout(),
48979
	.cascout(\Selector6~1430 ));
48980
// synopsys translate_off
48981
defparam \Selector6~1395_I .clock_enable_mode = "false";
48982
defparam \Selector6~1395_I .lut_mask = "1500";
48983
defparam \Selector6~1395_I .operation_mode = "normal";
48984
defparam \Selector6~1395_I .output_mode = "none";
48985
defparam \Selector6~1395_I .packed_mode = "false";
48986
// synopsys translate_on
48987
 
48988
// atom is at LC5_H32
48989
flex10ke_lcell \idehiin[2]~I (
48990
// Equation(s):
48991
// idehiin[2] = DFFEA(\ide_d[10]~5 , GLOBAL(\clkz_in~dataout ), , , \idein_lo_rd~25 , , )
48992
 
48993
	.dataa(\idein_lo_rd~25 ),
48994
	.datab(vcc),
48995
	.datac(vcc),
48996
	.datad(ide_d_10),
48997
	.aclr(gnd),
48998
	.aload(gnd),
48999
	.clk(zclk),
49000
	.cin(gnd),
49001
	.cascin(vcc),
49002
	.devclrn(devclrn),
49003
	.devpor(devpor),
49004
	.combout(),
49005
	.regout(idehiin[2]),
49006
	.cout(),
49007
	.cascout());
49008
// synopsys translate_off
49009
defparam \idehiin[2]~I .clock_enable_mode = "true";
49010
defparam \idehiin[2]~I .lut_mask = "ff00";
49011
defparam \idehiin[2]~I .operation_mode = "normal";
49012
defparam \idehiin[2]~I .output_mode = "reg_only";
49013
defparam \idehiin[2]~I .packed_mode = "false";
49014
// synopsys translate_on
49015
 
49016
// atom is at LC8_H32
49017
flex10ke_lcell \Selector5~1387_I (
49018
// Equation(s):
49019
// \Selector5~1387  = !\a~dataout [2] & \a~dataout [0] & (idehiin[2] # !\Equal19~29 )
49020
 
49021
	.dataa(a_2),
49022
	.datab(\Equal19~29 ),
49023
	.datac(idehiin[2]),
49024
	.datad(a_0),
49025
	.aclr(gnd),
49026
	.aload(gnd),
49027
	.clk(gnd),
49028
	.cin(gnd),
49029
	.cascin(vcc),
49030
	.devclrn(devclrn),
49031
	.devpor(devpor),
49032
	.combout(\Selector5~1387 ),
49033
	.regout(),
49034
	.cout(),
49035
	.cascout());
49036
// synopsys translate_off
49037
defparam \Selector5~1387_I .clock_enable_mode = "false";
49038
defparam \Selector5~1387_I .lut_mask = "5100";
49039
defparam \Selector5~1387_I .operation_mode = "normal";
49040
defparam \Selector5~1387_I .output_mode = "comb_only";
49041
defparam \Selector5~1387_I .packed_mode = "false";
49042
// synopsys translate_on
49043
 
49044
// atom is at LC6_H6
49045
flex10ke_lcell \Selector5~1385_I (
49046
// Equation(s):
49047
// \Selector5~1385  = !\a~dataout [7] & !\a~dataout [5] & dout_2 # !\Selector7~1873 
49048
 
49049
	.dataa(\Selector7~1873 ),
49050
	.datab(a_7),
49051
	.datac(a_5),
49052
	.datad(dout_2),
49053
	.aclr(gnd),
49054
	.aload(gnd),
49055
	.clk(gnd),
49056
	.cin(gnd),
49057
	.cascin(vcc),
49058
	.devclrn(devclrn),
49059
	.devpor(devpor),
49060
	.combout(\Selector5~1385 ),
49061
	.regout(),
49062
	.cout(),
49063
	.cascout());
49064
// synopsys translate_off
49065
defparam \Selector5~1385_I .clock_enable_mode = "false";
49066
defparam \Selector5~1385_I .lut_mask = "5755";
49067
defparam \Selector5~1385_I .operation_mode = "normal";
49068
defparam \Selector5~1385_I .output_mode = "comb_only";
49069
defparam \Selector5~1385_I .packed_mode = "false";
49070
// synopsys translate_on
49071
 
49072
// atom is at LC1_H6
49073
flex10ke_lcell \Selector5~1386_I (
49074
// Equation(s):
49075
// \Selector5~1386  = \Selector5~1385  # \Equal0~44  & (wait_reg_2 # !\always6~2 )
49076
 
49077
	.dataa(\always6~2 ),
49078
	.datab(wait_reg_2),
49079
	.datac(\Equal0~44 ),
49080
	.datad(\Selector5~1385 ),
49081
	.aclr(gnd),
49082
	.aload(gnd),
49083
	.clk(gnd),
49084
	.cin(gnd),
49085
	.cascin(vcc),
49086
	.devclrn(devclrn),
49087
	.devpor(devpor),
49088
	.combout(\Selector5~1386 ),
49089
	.regout(),
49090
	.cout(),
49091
	.cascout());
49092
// synopsys translate_off
49093
defparam \Selector5~1386_I .clock_enable_mode = "false";
49094
defparam \Selector5~1386_I .lut_mask = "ffd0";
49095
defparam \Selector5~1386_I .operation_mode = "normal";
49096
defparam \Selector5~1386_I .output_mode = "comb_only";
49097
defparam \Selector5~1386_I .packed_mode = "false";
49098
// synopsys translate_on
49099
 
49100
// atom is at LC1_H32
49101
flex10ke_lcell \Selector5~1388_I (
49102
// Equation(s):
49103
// \Selector5~1424  = !\Selector5~1387  & (\a~dataout [1] & !\Selector5~1386  & \a~dataout [2] # !\a~dataout [1] & (!\a~dataout [2]))
49104
 
49105
	.dataa(\Selector5~1387 ),
49106
	.datab(\Selector5~1386 ),
49107
	.datac(a_1),
49108
	.datad(a_2),
49109
	.aclr(gnd),
49110
	.aload(gnd),
49111
	.clk(gnd),
49112
	.cin(gnd),
49113
	.cascin(vcc),
49114
	.devclrn(devclrn),
49115
	.devpor(devpor),
49116
	.combout(\Selector5~1388 ),
49117
	.regout(),
49118
	.cout(),
49119
	.cascout(\Selector5~1424 ));
49120
// synopsys translate_off
49121
defparam \Selector5~1388_I .clock_enable_mode = "false";
49122
defparam \Selector5~1388_I .lut_mask = "1005";
49123
defparam \Selector5~1388_I .operation_mode = "normal";
49124
defparam \Selector5~1388_I .output_mode = "none";
49125
defparam \Selector5~1388_I .packed_mode = "false";
49126
// synopsys translate_on
49127
 
49128
// atom is at LC6_B27
49129
flex10ke_lcell \Selector5~1405_I (
49130
// Equation(s):
49131
// \Selector5~1436  = kbd_16 & \a~dataout [8] & (\a~dataout [13] # !kbd_21) # !kbd_16 & (\a~dataout [13] # !kbd_21)
49132
 
49133
	.dataa(kbd_16),
49134
	.datab(kbd_21),
49135
	.datac(a_13),
49136
	.datad(a_8),
49137
	.aclr(gnd),
49138
	.aload(gnd),
49139
	.clk(gnd),
49140
	.cin(gnd),
49141
	.cascin(vcc),
49142
	.devclrn(devclrn),
49143
	.devpor(devpor),
49144
	.combout(\Selector5~1405 ),
49145
	.regout(),
49146
	.cout(),
49147
	.cascout(\Selector5~1436 ));
49148
// synopsys translate_off
49149
defparam \Selector5~1405_I .clock_enable_mode = "false";
49150
defparam \Selector5~1405_I .lut_mask = "f351";
49151
defparam \Selector5~1405_I .operation_mode = "normal";
49152
defparam \Selector5~1405_I .output_mode = "none";
49153
defparam \Selector5~1405_I .packed_mode = "false";
49154
// synopsys translate_on
49155
 
49156
// atom is at LC7_B27
49157
flex10ke_lcell \Selector5~1411_I (
49158
// Equation(s):
49159
// \Selector5~1411  = (kbd_20 & \a~dataout [12] & (\a~dataout [11] # !kbd_19) # !kbd_20 & (\a~dataout [11] # !kbd_19)) & CASCADE(\Selector5~1436 )
49160
 
49161
	.dataa(kbd_20),
49162
	.datab(kbd_19),
49163
	.datac(a_11),
49164
	.datad(a_12),
49165
	.aclr(gnd),
49166
	.aload(gnd),
49167
	.clk(gnd),
49168
	.cin(gnd),
49169
	.cascin(\Selector5~1436 ),
49170
	.devclrn(devclrn),
49171
	.devpor(devpor),
49172
	.combout(\Selector5~1411 ),
49173
	.regout(),
49174
	.cout(),
49175
	.cascout());
49176
// synopsys translate_off
49177
defparam \Selector5~1411_I .clock_enable_mode = "false";
49178
defparam \Selector5~1411_I .lut_mask = "f351";
49179
defparam \Selector5~1411_I .operation_mode = "normal";
49180
defparam \Selector5~1411_I .output_mode = "comb_only";
49181
defparam \Selector5~1411_I .packed_mode = "false";
49182
// synopsys translate_on
49183
 
49184
// atom is at LC2_B22
49185
flex10ke_lcell \Selector5~1403_I (
49186
// Equation(s):
49187
// \Selector5~1433  = kbd_23 & \a~dataout [15] & (\a~dataout [10] # !kbd_18) # !kbd_23 & (\a~dataout [10] # !kbd_18)
49188
 
49189
	.dataa(kbd_23),
49190
	.datab(kbd_18),
49191
	.datac(a_10),
49192
	.datad(a_15),
49193
	.aclr(gnd),
49194
	.aload(gnd),
49195
	.clk(gnd),
49196
	.cin(gnd),
49197
	.cascin(vcc),
49198
	.devclrn(devclrn),
49199
	.devpor(devpor),
49200
	.combout(\Selector5~1403 ),
49201
	.regout(),
49202
	.cout(),
49203
	.cascout(\Selector5~1433 ));
49204
// synopsys translate_off
49205
defparam \Selector5~1403_I .clock_enable_mode = "false";
49206
defparam \Selector5~1403_I .lut_mask = "f351";
49207
defparam \Selector5~1403_I .operation_mode = "normal";
49208
defparam \Selector5~1403_I .output_mode = "none";
49209
defparam \Selector5~1403_I .packed_mode = "false";
49210
// synopsys translate_on
49211
 
49212
// atom is at LC3_B22
49213
flex10ke_lcell \Selector5~1410_I (
49214
// Equation(s):
49215
// \Selector5~1410  = (kbd_22 & \a~dataout [14] & (\a~dataout [9] # !kbd_17) # !kbd_22 & (\a~dataout [9] # !kbd_17)) & CASCADE(\Selector5~1433 )
49216
 
49217
	.dataa(kbd_22),
49218
	.datab(kbd_17),
49219
	.datac(a_9),
49220
	.datad(a_14),
49221
	.aclr(gnd),
49222
	.aload(gnd),
49223
	.clk(gnd),
49224
	.cin(gnd),
49225
	.cascin(\Selector5~1433 ),
49226
	.devclrn(devclrn),
49227
	.devpor(devpor),
49228
	.combout(\Selector5~1410 ),
49229
	.regout(),
49230
	.cout(),
49231
	.cascout());
49232
// synopsys translate_off
49233
defparam \Selector5~1410_I .clock_enable_mode = "false";
49234
defparam \Selector5~1410_I .lut_mask = "f351";
49235
defparam \Selector5~1410_I .operation_mode = "normal";
49236
defparam \Selector5~1410_I .output_mode = "comb_only";
49237
defparam \Selector5~1410_I .packed_mode = "false";
49238
// synopsys translate_on
49239
 
49240
// atom is at LC8_B27
49241
flex10ke_lcell \Selector5~1394_I (
49242
// Equation(s):
49243
// \Selector5~1394  = \a~dataout [5] & (\Selector5~1411  & \Selector5~1410  # !\Selector7~1883 )
49244
 
49245
	.dataa(\Selector7~1883 ),
49246
	.datab(\Selector5~1411 ),
49247
	.datac(\Selector5~1410 ),
49248
	.datad(a_5),
49249
	.aclr(gnd),
49250
	.aload(gnd),
49251
	.clk(gnd),
49252
	.cin(gnd),
49253
	.cascin(vcc),
49254
	.devclrn(devclrn),
49255
	.devpor(devpor),
49256
	.combout(\Selector5~1394 ),
49257
	.regout(),
49258
	.cout(),
49259
	.cascout());
49260
// synopsys translate_off
49261
defparam \Selector5~1394_I .clock_enable_mode = "false";
49262
defparam \Selector5~1394_I .lut_mask = "d500";
49263
defparam \Selector5~1394_I .operation_mode = "normal";
49264
defparam \Selector5~1394_I .output_mode = "comb_only";
49265
defparam \Selector5~1394_I .packed_mode = "false";
49266
// synopsys translate_on
49267
 
49268
// atom is at LC3_E34
49269
flex10ke_lcell \Selector5~1390_I (
49270
// Equation(s):
49271
// \Selector5~1390  = \a~dataout [10] & (musy_2) # !\a~dataout [10] & musx_2
49272
 
49273
	.dataa(vcc),
49274
	.datab(a_10),
49275
	.datac(musx_2),
49276
	.datad(musy_2),
49277
	.aclr(gnd),
49278
	.aload(gnd),
49279
	.clk(gnd),
49280
	.cin(gnd),
49281
	.cascin(vcc),
49282
	.devclrn(devclrn),
49283
	.devpor(devpor),
49284
	.combout(\Selector5~1390 ),
49285
	.regout(),
49286
	.cout(),
49287
	.cascout());
49288
// synopsys translate_off
49289
defparam \Selector5~1390_I .clock_enable_mode = "false";
49290
defparam \Selector5~1390_I .lut_mask = "fc30";
49291
defparam \Selector5~1390_I .operation_mode = "normal";
49292
defparam \Selector5~1390_I .output_mode = "comb_only";
49293
defparam \Selector5~1390_I .packed_mode = "false";
49294
// synopsys translate_on
49295
 
49296
// atom is at LC5_E34
49297
flex10ke_lcell \Selector5~1391_I (
49298
// Equation(s):
49299
// \Selector5~1391  = \a~dataout [8] & (\Selector5~1390 ) # !\a~dataout [8] & musbtn_2 # !\Selector7~1861 
49300
 
49301
	.dataa(\Selector7~1861 ),
49302
	.datab(a_8),
49303
	.datac(musbtn_2),
49304
	.datad(\Selector5~1390 ),
49305
	.aclr(gnd),
49306
	.aload(gnd),
49307
	.clk(gnd),
49308
	.cin(gnd),
49309
	.cascin(vcc),
49310
	.devclrn(devclrn),
49311
	.devpor(devpor),
49312
	.combout(\Selector5~1391 ),
49313
	.regout(),
49314
	.cout(),
49315
	.cascout());
49316
// synopsys translate_off
49317
defparam \Selector5~1391_I .clock_enable_mode = "false";
49318
defparam \Selector5~1391_I .lut_mask = "fd75";
49319
defparam \Selector5~1391_I .operation_mode = "normal";
49320
defparam \Selector5~1391_I .output_mode = "comb_only";
49321
defparam \Selector5~1391_I .packed_mode = "false";
49322
// synopsys translate_on
49323
 
49324
// atom is at LC7_E34
49325
flex10ke_lcell \Selector5~1395_I (
49326
// Equation(s):
49327
// \Selector5~1430  = !\Selector5~1394  & \Equal0~46  & (!\Equal9~59  # !\Selector5~1391 )
49328
 
49329
	.dataa(\Selector5~1394 ),
49330
	.datab(\Selector5~1391 ),
49331
	.datac(\Equal9~59 ),
49332
	.datad(\Equal0~46 ),
49333
	.aclr(gnd),
49334
	.aload(gnd),
49335
	.clk(gnd),
49336
	.cin(gnd),
49337
	.cascin(vcc),
49338
	.devclrn(devclrn),
49339
	.devpor(devpor),
49340
	.combout(\Selector5~1395 ),
49341
	.regout(),
49342
	.cout(),
49343
	.cascout(\Selector5~1430 ));
49344
// synopsys translate_off
49345
defparam \Selector5~1395_I .clock_enable_mode = "false";
49346
defparam \Selector5~1395_I .lut_mask = "1500";
49347
defparam \Selector5~1395_I .operation_mode = "normal";
49348
defparam \Selector5~1395_I .output_mode = "none";
49349
defparam \Selector5~1395_I .packed_mode = "false";
49350
// synopsys translate_on
49351
 
49352
// atom is at LC2_H3
49353
flex10ke_lcell \idehiin[3]~I (
49354
// Equation(s):
49355
// idehiin[3] = DFFEA(\ide_d[11]~4 , GLOBAL(\clkz_in~dataout ), , , \idein_lo_rd~25 , , )
49356
 
49357
	.dataa(\idein_lo_rd~25 ),
49358
	.datab(vcc),
49359
	.datac(vcc),
49360
	.datad(ide_d_11),
49361
	.aclr(gnd),
49362
	.aload(gnd),
49363
	.clk(zclk),
49364
	.cin(gnd),
49365
	.cascin(vcc),
49366
	.devclrn(devclrn),
49367
	.devpor(devpor),
49368
	.combout(),
49369
	.regout(idehiin[3]),
49370
	.cout(),
49371
	.cascout());
49372
// synopsys translate_off
49373
defparam \idehiin[3]~I .clock_enable_mode = "true";
49374
defparam \idehiin[3]~I .lut_mask = "ff00";
49375
defparam \idehiin[3]~I .operation_mode = "normal";
49376
defparam \idehiin[3]~I .output_mode = "reg_only";
49377
defparam \idehiin[3]~I .packed_mode = "false";
49378
// synopsys translate_on
49379
 
49380
// atom is at LC7_H17
49381
flex10ke_lcell \Selector4~1387_I (
49382
// Equation(s):
49383
// \Selector4~1387  = !\a~dataout [2] & \a~dataout [0] & (idehiin[3] # !\Equal19~29 )
49384
 
49385
	.dataa(a_2),
49386
	.datab(\Equal19~29 ),
49387
	.datac(idehiin[3]),
49388
	.datad(a_0),
49389
	.aclr(gnd),
49390
	.aload(gnd),
49391
	.clk(gnd),
49392
	.cin(gnd),
49393
	.cascin(vcc),
49394
	.devclrn(devclrn),
49395
	.devpor(devpor),
49396
	.combout(\Selector4~1387 ),
49397
	.regout(),
49398
	.cout(),
49399
	.cascout());
49400
// synopsys translate_off
49401
defparam \Selector4~1387_I .clock_enable_mode = "false";
49402
defparam \Selector4~1387_I .lut_mask = "5100";
49403
defparam \Selector4~1387_I .operation_mode = "normal";
49404
defparam \Selector4~1387_I .output_mode = "comb_only";
49405
defparam \Selector4~1387_I .packed_mode = "false";
49406
// synopsys translate_on
49407
 
49408
// atom is at LC3_H15
49409
flex10ke_lcell \Selector4~1385_I (
49410
// Equation(s):
49411
// \Selector4~1385  = !\a~dataout [7] & !\a~dataout [5] & dout_3 # !\Selector7~1873 
49412
 
49413
	.dataa(\Selector7~1873 ),
49414
	.datab(a_7),
49415
	.datac(a_5),
49416
	.datad(dout_3),
49417
	.aclr(gnd),
49418
	.aload(gnd),
49419
	.clk(gnd),
49420
	.cin(gnd),
49421
	.cascin(vcc),
49422
	.devclrn(devclrn),
49423
	.devpor(devpor),
49424
	.combout(\Selector4~1385 ),
49425
	.regout(),
49426
	.cout(),
49427
	.cascout());
49428
// synopsys translate_off
49429
defparam \Selector4~1385_I .clock_enable_mode = "false";
49430
defparam \Selector4~1385_I .lut_mask = "5755";
49431
defparam \Selector4~1385_I .operation_mode = "normal";
49432
defparam \Selector4~1385_I .output_mode = "comb_only";
49433
defparam \Selector4~1385_I .packed_mode = "false";
49434
// synopsys translate_on
49435
 
49436
// atom is at LC4_H15
49437
flex10ke_lcell \Selector4~1386_I (
49438
// Equation(s):
49439
// \Selector4~1386  = \Selector4~1385  # \Equal0~44  & (wait_reg_3 # !\always6~2 )
49440
 
49441
	.dataa(\always6~2 ),
49442
	.datab(wait_reg_3),
49443
	.datac(\Equal0~44 ),
49444
	.datad(\Selector4~1385 ),
49445
	.aclr(gnd),
49446
	.aload(gnd),
49447
	.clk(gnd),
49448
	.cin(gnd),
49449
	.cascin(vcc),
49450
	.devclrn(devclrn),
49451
	.devpor(devpor),
49452
	.combout(\Selector4~1386 ),
49453
	.regout(),
49454
	.cout(),
49455
	.cascout());
49456
// synopsys translate_off
49457
defparam \Selector4~1386_I .clock_enable_mode = "false";
49458
defparam \Selector4~1386_I .lut_mask = "ffd0";
49459
defparam \Selector4~1386_I .operation_mode = "normal";
49460
defparam \Selector4~1386_I .output_mode = "comb_only";
49461
defparam \Selector4~1386_I .packed_mode = "false";
49462
// synopsys translate_on
49463
 
49464
// atom is at LC1_H17
49465
flex10ke_lcell \Selector4~1388_I (
49466
// Equation(s):
49467
// \Selector4~1424  = !\Selector4~1387  & (\a~dataout [1] & !\Selector4~1386  & \a~dataout [2] # !\a~dataout [1] & (!\a~dataout [2]))
49468
 
49469
	.dataa(\Selector4~1387 ),
49470
	.datab(\Selector4~1386 ),
49471
	.datac(a_1),
49472
	.datad(a_2),
49473
	.aclr(gnd),
49474
	.aload(gnd),
49475
	.clk(gnd),
49476
	.cin(gnd),
49477
	.cascin(vcc),
49478
	.devclrn(devclrn),
49479
	.devpor(devpor),
49480
	.combout(\Selector4~1388 ),
49481
	.regout(),
49482
	.cout(),
49483
	.cascout(\Selector4~1424 ));
49484
// synopsys translate_off
49485
defparam \Selector4~1388_I .clock_enable_mode = "false";
49486
defparam \Selector4~1388_I .lut_mask = "1005";
49487
defparam \Selector4~1388_I .operation_mode = "normal";
49488
defparam \Selector4~1388_I .output_mode = "none";
49489
defparam \Selector4~1388_I .packed_mode = "false";
49490
// synopsys translate_on
49491
 
49492
// atom is at LC4_B29
49493
flex10ke_lcell \Selector4~1405_I (
49494
// Equation(s):
49495
// \Selector4~1436  = kbd_8 & \a~dataout [8] & (\a~dataout [13] # !kbd_13) # !kbd_8 & (\a~dataout [13] # !kbd_13)
49496
 
49497
	.dataa(kbd_8),
49498
	.datab(kbd_13),
49499
	.datac(a_13),
49500
	.datad(a_8),
49501
	.aclr(gnd),
49502
	.aload(gnd),
49503
	.clk(gnd),
49504
	.cin(gnd),
49505
	.cascin(vcc),
49506
	.devclrn(devclrn),
49507
	.devpor(devpor),
49508
	.combout(\Selector4~1405 ),
49509
	.regout(),
49510
	.cout(),
49511
	.cascout(\Selector4~1436 ));
49512
// synopsys translate_off
49513
defparam \Selector4~1405_I .clock_enable_mode = "false";
49514
defparam \Selector4~1405_I .lut_mask = "f351";
49515
defparam \Selector4~1405_I .operation_mode = "normal";
49516
defparam \Selector4~1405_I .output_mode = "none";
49517
defparam \Selector4~1405_I .packed_mode = "false";
49518
// synopsys translate_on
49519
 
49520
// atom is at LC5_B29
49521
flex10ke_lcell \Selector4~1411_I (
49522
// Equation(s):
49523
// \Selector4~1411  = (kbd_12 & \a~dataout [12] & (\a~dataout [11] # !kbd_11) # !kbd_12 & (\a~dataout [11] # !kbd_11)) & CASCADE(\Selector4~1436 )
49524
 
49525
	.dataa(kbd_12),
49526
	.datab(kbd_11),
49527
	.datac(a_11),
49528
	.datad(a_12),
49529
	.aclr(gnd),
49530
	.aload(gnd),
49531
	.clk(gnd),
49532
	.cin(gnd),
49533
	.cascin(\Selector4~1436 ),
49534
	.devclrn(devclrn),
49535
	.devpor(devpor),
49536
	.combout(\Selector4~1411 ),
49537
	.regout(),
49538
	.cout(),
49539
	.cascout());
49540
// synopsys translate_off
49541
defparam \Selector4~1411_I .clock_enable_mode = "false";
49542
defparam \Selector4~1411_I .lut_mask = "f351";
49543
defparam \Selector4~1411_I .operation_mode = "normal";
49544
defparam \Selector4~1411_I .output_mode = "comb_only";
49545
defparam \Selector4~1411_I .packed_mode = "false";
49546
// synopsys translate_on
49547
 
49548
// atom is at LC2_B24
49549
flex10ke_lcell \Selector4~1403_I (
49550
// Equation(s):
49551
// \Selector4~1433  = kbd_15 & \a~dataout [15] & (\a~dataout [10] # !kbd_10) # !kbd_15 & (\a~dataout [10] # !kbd_10)
49552
 
49553
	.dataa(kbd_15),
49554
	.datab(kbd_10),
49555
	.datac(a_10),
49556
	.datad(a_15),
49557
	.aclr(gnd),
49558
	.aload(gnd),
49559
	.clk(gnd),
49560
	.cin(gnd),
49561
	.cascin(vcc),
49562
	.devclrn(devclrn),
49563
	.devpor(devpor),
49564
	.combout(\Selector4~1403 ),
49565
	.regout(),
49566
	.cout(),
49567
	.cascout(\Selector4~1433 ));
49568
// synopsys translate_off
49569
defparam \Selector4~1403_I .clock_enable_mode = "false";
49570
defparam \Selector4~1403_I .lut_mask = "f351";
49571
defparam \Selector4~1403_I .operation_mode = "normal";
49572
defparam \Selector4~1403_I .output_mode = "none";
49573
defparam \Selector4~1403_I .packed_mode = "false";
49574
// synopsys translate_on
49575
 
49576
// atom is at LC3_B24
49577
flex10ke_lcell \Selector4~1410_I (
49578
// Equation(s):
49579
// \Selector4~1410  = (kbd_14 & \a~dataout [14] & (\a~dataout [9] # !kbd_9) # !kbd_14 & (\a~dataout [9] # !kbd_9)) & CASCADE(\Selector4~1433 )
49580
 
49581
	.dataa(kbd_14),
49582
	.datab(kbd_9),
49583
	.datac(a_9),
49584
	.datad(a_14),
49585
	.aclr(gnd),
49586
	.aload(gnd),
49587
	.clk(gnd),
49588
	.cin(gnd),
49589
	.cascin(\Selector4~1433 ),
49590
	.devclrn(devclrn),
49591
	.devpor(devpor),
49592
	.combout(\Selector4~1410 ),
49593
	.regout(),
49594
	.cout(),
49595
	.cascout());
49596
// synopsys translate_off
49597
defparam \Selector4~1410_I .clock_enable_mode = "false";
49598
defparam \Selector4~1410_I .lut_mask = "f351";
49599
defparam \Selector4~1410_I .operation_mode = "normal";
49600
defparam \Selector4~1410_I .output_mode = "comb_only";
49601
defparam \Selector4~1410_I .packed_mode = "false";
49602
// synopsys translate_on
49603
 
49604
// atom is at LC7_B24
49605
flex10ke_lcell \Selector4~1394_I (
49606
// Equation(s):
49607
// \Selector4~1394  = \a~dataout [5] & (\Selector4~1411  & \Selector4~1410  # !\Selector7~1883 )
49608
 
49609
	.dataa(\Selector7~1883 ),
49610
	.datab(\Selector4~1411 ),
49611
	.datac(\Selector4~1410 ),
49612
	.datad(a_5),
49613
	.aclr(gnd),
49614
	.aload(gnd),
49615
	.clk(gnd),
49616
	.cin(gnd),
49617
	.cascin(vcc),
49618
	.devclrn(devclrn),
49619
	.devpor(devpor),
49620
	.combout(\Selector4~1394 ),
49621
	.regout(),
49622
	.cout(),
49623
	.cascout());
49624
// synopsys translate_off
49625
defparam \Selector4~1394_I .clock_enable_mode = "false";
49626
defparam \Selector4~1394_I .lut_mask = "d500";
49627
defparam \Selector4~1394_I .operation_mode = "normal";
49628
defparam \Selector4~1394_I .output_mode = "comb_only";
49629
defparam \Selector4~1394_I .packed_mode = "false";
49630
// synopsys translate_on
49631
 
49632
// atom is at LC3_E29
49633
flex10ke_lcell \Selector4~1390_I (
49634
// Equation(s):
49635
// \Selector4~1390  = \a~dataout [10] & (musy_3) # !\a~dataout [10] & musx_3
49636
 
49637
	.dataa(vcc),
49638
	.datab(a_10),
49639
	.datac(musx_3),
49640
	.datad(musy_3),
49641
	.aclr(gnd),
49642
	.aload(gnd),
49643
	.clk(gnd),
49644
	.cin(gnd),
49645
	.cascin(vcc),
49646
	.devclrn(devclrn),
49647
	.devpor(devpor),
49648
	.combout(\Selector4~1390 ),
49649
	.regout(),
49650
	.cout(),
49651
	.cascout());
49652
// synopsys translate_off
49653
defparam \Selector4~1390_I .clock_enable_mode = "false";
49654
defparam \Selector4~1390_I .lut_mask = "fc30";
49655
defparam \Selector4~1390_I .operation_mode = "normal";
49656
defparam \Selector4~1390_I .output_mode = "comb_only";
49657
defparam \Selector4~1390_I .packed_mode = "false";
49658
// synopsys translate_on
49659
 
49660
// atom is at LC7_E29
49661
flex10ke_lcell \Selector4~1391_I (
49662
// Equation(s):
49663
// \Selector4~1391  = \a~dataout [8] & (\Selector4~1390 ) # !\a~dataout [8] & musbtn_3 # !\Selector7~1861 
49664
 
49665
	.dataa(\Selector7~1861 ),
49666
	.datab(a_8),
49667
	.datac(musbtn_3),
49668
	.datad(\Selector4~1390 ),
49669
	.aclr(gnd),
49670
	.aload(gnd),
49671
	.clk(gnd),
49672
	.cin(gnd),
49673
	.cascin(vcc),
49674
	.devclrn(devclrn),
49675
	.devpor(devpor),
49676
	.combout(\Selector4~1391 ),
49677
	.regout(),
49678
	.cout(),
49679
	.cascout());
49680
// synopsys translate_off
49681
defparam \Selector4~1391_I .clock_enable_mode = "false";
49682
defparam \Selector4~1391_I .lut_mask = "fd75";
49683
defparam \Selector4~1391_I .operation_mode = "normal";
49684
defparam \Selector4~1391_I .output_mode = "comb_only";
49685
defparam \Selector4~1391_I .packed_mode = "false";
49686
// synopsys translate_on
49687
 
49688
// atom is at LC5_E29
49689
flex10ke_lcell \Selector4~1395_I (
49690
// Equation(s):
49691
// \Selector4~1430  = !\Selector4~1394  & \Equal0~46  & (!\Equal9~59  # !\Selector4~1391 )
49692
 
49693
	.dataa(\Selector4~1394 ),
49694
	.datab(\Selector4~1391 ),
49695
	.datac(\Equal9~59 ),
49696
	.datad(\Equal0~46 ),
49697
	.aclr(gnd),
49698
	.aload(gnd),
49699
	.clk(gnd),
49700
	.cin(gnd),
49701
	.cascin(vcc),
49702
	.devclrn(devclrn),
49703
	.devpor(devpor),
49704
	.combout(\Selector4~1395 ),
49705
	.regout(),
49706
	.cout(),
49707
	.cascout(\Selector4~1430 ));
49708
// synopsys translate_off
49709
defparam \Selector4~1395_I .clock_enable_mode = "false";
49710
defparam \Selector4~1395_I .lut_mask = "1500";
49711
defparam \Selector4~1395_I .operation_mode = "normal";
49712
defparam \Selector4~1395_I .output_mode = "none";
49713
defparam \Selector4~1395_I .packed_mode = "false";
49714
// synopsys translate_on
49715
 
49716
// atom is at LC8_H3
49717
flex10ke_lcell \idehiin[4]~I (
49718
// Equation(s):
49719
// idehiin[4] = DFFEA(\ide_d[12]~3 , GLOBAL(\clkz_in~dataout ), , , \idein_lo_rd~25 , , )
49720
 
49721
	.dataa(\idein_lo_rd~25 ),
49722
	.datab(vcc),
49723
	.datac(vcc),
49724
	.datad(ide_d_12),
49725
	.aclr(gnd),
49726
	.aload(gnd),
49727
	.clk(zclk),
49728
	.cin(gnd),
49729
	.cascin(vcc),
49730
	.devclrn(devclrn),
49731
	.devpor(devpor),
49732
	.combout(),
49733
	.regout(idehiin[4]),
49734
	.cout(),
49735
	.cascout());
49736
// synopsys translate_off
49737
defparam \idehiin[4]~I .clock_enable_mode = "true";
49738
defparam \idehiin[4]~I .lut_mask = "ff00";
49739
defparam \idehiin[4]~I .operation_mode = "normal";
49740
defparam \idehiin[4]~I .output_mode = "reg_only";
49741
defparam \idehiin[4]~I .packed_mode = "false";
49742
// synopsys translate_on
49743
 
49744
// atom is at LC8_H27
49745
flex10ke_lcell \Selector3~1387_I (
49746
// Equation(s):
49747
// \Selector3~1387  = !\a~dataout [2] & \a~dataout [0] & (idehiin[4] # !\Equal19~29 )
49748
 
49749
	.dataa(a_2),
49750
	.datab(\Equal19~29 ),
49751
	.datac(idehiin[4]),
49752
	.datad(a_0),
49753
	.aclr(gnd),
49754
	.aload(gnd),
49755
	.clk(gnd),
49756
	.cin(gnd),
49757
	.cascin(vcc),
49758
	.devclrn(devclrn),
49759
	.devpor(devpor),
49760
	.combout(\Selector3~1387 ),
49761
	.regout(),
49762
	.cout(),
49763
	.cascout());
49764
// synopsys translate_off
49765
defparam \Selector3~1387_I .clock_enable_mode = "false";
49766
defparam \Selector3~1387_I .lut_mask = "5100";
49767
defparam \Selector3~1387_I .operation_mode = "normal";
49768
defparam \Selector3~1387_I .output_mode = "comb_only";
49769
defparam \Selector3~1387_I .packed_mode = "false";
49770
// synopsys translate_on
49771
 
49772
// atom is at LC6_H15
49773
flex10ke_lcell \Selector3~1385_I (
49774
// Equation(s):
49775
// \Selector3~1385  = !\a~dataout [7] & !\a~dataout [5] & dout_4 # !\Selector7~1873 
49776
 
49777
	.dataa(\Selector7~1873 ),
49778
	.datab(a_7),
49779
	.datac(a_5),
49780
	.datad(dout_4),
49781
	.aclr(gnd),
49782
	.aload(gnd),
49783
	.clk(gnd),
49784
	.cin(gnd),
49785
	.cascin(vcc),
49786
	.devclrn(devclrn),
49787
	.devpor(devpor),
49788
	.combout(\Selector3~1385 ),
49789
	.regout(),
49790
	.cout(),
49791
	.cascout());
49792
// synopsys translate_off
49793
defparam \Selector3~1385_I .clock_enable_mode = "false";
49794
defparam \Selector3~1385_I .lut_mask = "5755";
49795
defparam \Selector3~1385_I .operation_mode = "normal";
49796
defparam \Selector3~1385_I .output_mode = "comb_only";
49797
defparam \Selector3~1385_I .packed_mode = "false";
49798
// synopsys translate_on
49799
 
49800
// atom is at LC8_H15
49801
flex10ke_lcell \Selector3~1386_I (
49802
// Equation(s):
49803
// \Selector3~1386  = \Selector3~1385  # \Equal0~44  & (wait_reg_4 # !\always6~2 )
49804
 
49805
	.dataa(\always6~2 ),
49806
	.datab(wait_reg_4),
49807
	.datac(\Equal0~44 ),
49808
	.datad(\Selector3~1385 ),
49809
	.aclr(gnd),
49810
	.aload(gnd),
49811
	.clk(gnd),
49812
	.cin(gnd),
49813
	.cascin(vcc),
49814
	.devclrn(devclrn),
49815
	.devpor(devpor),
49816
	.combout(\Selector3~1386 ),
49817
	.regout(),
49818
	.cout(),
49819
	.cascout());
49820
// synopsys translate_off
49821
defparam \Selector3~1386_I .clock_enable_mode = "false";
49822
defparam \Selector3~1386_I .lut_mask = "ffd0";
49823
defparam \Selector3~1386_I .operation_mode = "normal";
49824
defparam \Selector3~1386_I .output_mode = "comb_only";
49825
defparam \Selector3~1386_I .packed_mode = "false";
49826
// synopsys translate_on
49827
 
49828
// atom is at LC6_H27
49829
flex10ke_lcell \Selector3~1388_I (
49830
// Equation(s):
49831
// \Selector3~1424  = !\Selector3~1387  & (\a~dataout [1] & !\Selector3~1386  & \a~dataout [2] # !\a~dataout [1] & (!\a~dataout [2]))
49832
 
49833
	.dataa(\Selector3~1387 ),
49834
	.datab(\Selector3~1386 ),
49835
	.datac(a_1),
49836
	.datad(a_2),
49837
	.aclr(gnd),
49838
	.aload(gnd),
49839
	.clk(gnd),
49840
	.cin(gnd),
49841
	.cascin(vcc),
49842
	.devclrn(devclrn),
49843
	.devpor(devpor),
49844
	.combout(\Selector3~1388 ),
49845
	.regout(),
49846
	.cout(),
49847
	.cascout(\Selector3~1424 ));
49848
// synopsys translate_off
49849
defparam \Selector3~1388_I .clock_enable_mode = "false";
49850
defparam \Selector3~1388_I .lut_mask = "1005";
49851
defparam \Selector3~1388_I .operation_mode = "normal";
49852
defparam \Selector3~1388_I .output_mode = "none";
49853
defparam \Selector3~1388_I .packed_mode = "false";
49854
// synopsys translate_on
49855
 
49856
// atom is at LC3_A32
49857
flex10ke_lcell \Selector3~1405_I (
49858
// Equation(s):
49859
// \Selector3~1436  = kbd_0 & \a~dataout [8] & (\a~dataout [13] # !kbd_5) # !kbd_0 & (\a~dataout [13] # !kbd_5)
49860
 
49861
	.dataa(kbd_0),
49862
	.datab(kbd_5),
49863
	.datac(a_13),
49864
	.datad(a_8),
49865
	.aclr(gnd),
49866
	.aload(gnd),
49867
	.clk(gnd),
49868
	.cin(gnd),
49869
	.cascin(vcc),
49870
	.devclrn(devclrn),
49871
	.devpor(devpor),
49872
	.combout(\Selector3~1405 ),
49873
	.regout(),
49874
	.cout(),
49875
	.cascout(\Selector3~1436 ));
49876
// synopsys translate_off
49877
defparam \Selector3~1405_I .clock_enable_mode = "false";
49878
defparam \Selector3~1405_I .lut_mask = "f351";
49879
defparam \Selector3~1405_I .operation_mode = "normal";
49880
defparam \Selector3~1405_I .output_mode = "none";
49881
defparam \Selector3~1405_I .packed_mode = "false";
49882
// synopsys translate_on
49883
 
49884
// atom is at LC4_A32
49885
flex10ke_lcell \Selector3~1411_I (
49886
// Equation(s):
49887
// \Selector3~1411  = (kbd_4 & \a~dataout [12] & (\a~dataout [11] # !kbd_3) # !kbd_4 & (\a~dataout [11] # !kbd_3)) & CASCADE(\Selector3~1436 )
49888
 
49889
	.dataa(kbd_4),
49890
	.datab(kbd_3),
49891
	.datac(a_11),
49892
	.datad(a_12),
49893
	.aclr(gnd),
49894
	.aload(gnd),
49895
	.clk(gnd),
49896
	.cin(gnd),
49897
	.cascin(\Selector3~1436 ),
49898
	.devclrn(devclrn),
49899
	.devpor(devpor),
49900
	.combout(\Selector3~1411 ),
49901
	.regout(),
49902
	.cout(),
49903
	.cascout());
49904
// synopsys translate_off
49905
defparam \Selector3~1411_I .clock_enable_mode = "false";
49906
defparam \Selector3~1411_I .lut_mask = "f351";
49907
defparam \Selector3~1411_I .operation_mode = "normal";
49908
defparam \Selector3~1411_I .output_mode = "comb_only";
49909
defparam \Selector3~1411_I .packed_mode = "false";
49910
// synopsys translate_on
49911
 
49912
// atom is at LC6_A23
49913
flex10ke_lcell \Selector3~1403_I (
49914
// Equation(s):
49915
// \Selector3~1433  = kbd_7 & \a~dataout [15] & (\a~dataout [10] # !kbd_2) # !kbd_7 & (\a~dataout [10] # !kbd_2)
49916
 
49917
	.dataa(kbd_7),
49918
	.datab(kbd_2),
49919
	.datac(a_10),
49920
	.datad(a_15),
49921
	.aclr(gnd),
49922
	.aload(gnd),
49923
	.clk(gnd),
49924
	.cin(gnd),
49925
	.cascin(vcc),
49926
	.devclrn(devclrn),
49927
	.devpor(devpor),
49928
	.combout(\Selector3~1403 ),
49929
	.regout(),
49930
	.cout(),
49931
	.cascout(\Selector3~1433 ));
49932
// synopsys translate_off
49933
defparam \Selector3~1403_I .clock_enable_mode = "false";
49934
defparam \Selector3~1403_I .lut_mask = "f351";
49935
defparam \Selector3~1403_I .operation_mode = "normal";
49936
defparam \Selector3~1403_I .output_mode = "none";
49937
defparam \Selector3~1403_I .packed_mode = "false";
49938
// synopsys translate_on
49939
 
49940
// atom is at LC7_A23
49941
flex10ke_lcell \Selector3~1410_I (
49942
// Equation(s):
49943
// \Selector3~1410  = (kbd_6 & \a~dataout [14] & (\a~dataout [9] # !kbd_1) # !kbd_6 & (\a~dataout [9] # !kbd_1)) & CASCADE(\Selector3~1433 )
49944
 
49945
	.dataa(kbd_6),
49946
	.datab(kbd_1),
49947
	.datac(a_9),
49948
	.datad(a_14),
49949
	.aclr(gnd),
49950
	.aload(gnd),
49951
	.clk(gnd),
49952
	.cin(gnd),
49953
	.cascin(\Selector3~1433 ),
49954
	.devclrn(devclrn),
49955
	.devpor(devpor),
49956
	.combout(\Selector3~1410 ),
49957
	.regout(),
49958
	.cout(),
49959
	.cascout());
49960
// synopsys translate_off
49961
defparam \Selector3~1410_I .clock_enable_mode = "false";
49962
defparam \Selector3~1410_I .lut_mask = "f351";
49963
defparam \Selector3~1410_I .operation_mode = "normal";
49964
defparam \Selector3~1410_I .output_mode = "comb_only";
49965
defparam \Selector3~1410_I .packed_mode = "false";
49966
// synopsys translate_on
49967
 
49968
// atom is at LC3_A23
49969
flex10ke_lcell \Selector3~1394_I (
49970
// Equation(s):
49971
// \Selector3~1394  = \a~dataout [5] & (\Selector3~1411  & \Selector3~1410  # !\Selector7~1883 )
49972
 
49973
	.dataa(\Selector7~1883 ),
49974
	.datab(\Selector3~1411 ),
49975
	.datac(\Selector3~1410 ),
49976
	.datad(a_5),
49977
	.aclr(gnd),
49978
	.aload(gnd),
49979
	.clk(gnd),
49980
	.cin(gnd),
49981
	.cascin(vcc),
49982
	.devclrn(devclrn),
49983
	.devpor(devpor),
49984
	.combout(\Selector3~1394 ),
49985
	.regout(),
49986
	.cout(),
49987
	.cascout());
49988
// synopsys translate_off
49989
defparam \Selector3~1394_I .clock_enable_mode = "false";
49990
defparam \Selector3~1394_I .lut_mask = "d500";
49991
defparam \Selector3~1394_I .operation_mode = "normal";
49992
defparam \Selector3~1394_I .output_mode = "comb_only";
49993
defparam \Selector3~1394_I .packed_mode = "false";
49994
// synopsys translate_on
49995
 
49996
// atom is at LC1_E19
49997
flex10ke_lcell \Selector3~1390_I (
49998
// Equation(s):
49999
// \Selector3~1390  = \a~dataout [10] & (musy_4) # !\a~dataout [10] & musx_4
50000
 
50001
	.dataa(vcc),
50002
	.datab(a_10),
50003
	.datac(musx_4),
50004
	.datad(musy_4),
50005
	.aclr(gnd),
50006
	.aload(gnd),
50007
	.clk(gnd),
50008
	.cin(gnd),
50009
	.cascin(vcc),
50010
	.devclrn(devclrn),
50011
	.devpor(devpor),
50012
	.combout(\Selector3~1390 ),
50013
	.regout(),
50014
	.cout(),
50015
	.cascout());
50016
// synopsys translate_off
50017
defparam \Selector3~1390_I .clock_enable_mode = "false";
50018
defparam \Selector3~1390_I .lut_mask = "fc30";
50019
defparam \Selector3~1390_I .operation_mode = "normal";
50020
defparam \Selector3~1390_I .output_mode = "comb_only";
50021
defparam \Selector3~1390_I .packed_mode = "false";
50022
// synopsys translate_on
50023
 
50024
// atom is at LC5_E26
50025
flex10ke_lcell \Selector3~1391_I (
50026
// Equation(s):
50027
// \Selector3~1391  = \a~dataout [8] & (\Selector3~1390 ) # !\a~dataout [8] & musbtn_4 # !\Selector7~1861 
50028
 
50029
	.dataa(\Selector7~1861 ),
50030
	.datab(a_8),
50031
	.datac(musbtn_4),
50032
	.datad(\Selector3~1390 ),
50033
	.aclr(gnd),
50034
	.aload(gnd),
50035
	.clk(gnd),
50036
	.cin(gnd),
50037
	.cascin(vcc),
50038
	.devclrn(devclrn),
50039
	.devpor(devpor),
50040
	.combout(\Selector3~1391 ),
50041
	.regout(),
50042
	.cout(),
50043
	.cascout());
50044
// synopsys translate_off
50045
defparam \Selector3~1391_I .clock_enable_mode = "false";
50046
defparam \Selector3~1391_I .lut_mask = "fd75";
50047
defparam \Selector3~1391_I .operation_mode = "normal";
50048
defparam \Selector3~1391_I .output_mode = "comb_only";
50049
defparam \Selector3~1391_I .packed_mode = "false";
50050
// synopsys translate_on
50051
 
50052
// atom is at LC1_A23
50053
flex10ke_lcell \Selector3~1395_I (
50054
// Equation(s):
50055
// \Selector3~1430  = !\Selector3~1394  & \Equal0~46  & (!\Equal9~59  # !\Selector3~1391 )
50056
 
50057
	.dataa(\Selector3~1394 ),
50058
	.datab(\Selector3~1391 ),
50059
	.datac(\Equal9~59 ),
50060
	.datad(\Equal0~46 ),
50061
	.aclr(gnd),
50062
	.aload(gnd),
50063
	.clk(gnd),
50064
	.cin(gnd),
50065
	.cascin(vcc),
50066
	.devclrn(devclrn),
50067
	.devpor(devpor),
50068
	.combout(\Selector3~1395 ),
50069
	.regout(),
50070
	.cout(),
50071
	.cascout(\Selector3~1430 ));
50072
// synopsys translate_off
50073
defparam \Selector3~1395_I .clock_enable_mode = "false";
50074
defparam \Selector3~1395_I .lut_mask = "1500";
50075
defparam \Selector3~1395_I .operation_mode = "normal";
50076
defparam \Selector3~1395_I .output_mode = "none";
50077
defparam \Selector3~1395_I .packed_mode = "false";
50078
// synopsys translate_on
50079
 
50080
// atom is at LC1_H3
50081
flex10ke_lcell \idehiin[5]~I (
50082
// Equation(s):
50083
// idehiin[5] = DFFEA(\ide_d[13]~2 , GLOBAL(\clkz_in~dataout ), , , \idein_lo_rd~25 , , )
50084
 
50085
	.dataa(\idein_lo_rd~25 ),
50086
	.datab(vcc),
50087
	.datac(vcc),
50088
	.datad(ide_d_13),
50089
	.aclr(gnd),
50090
	.aload(gnd),
50091
	.clk(zclk),
50092
	.cin(gnd),
50093
	.cascin(vcc),
50094
	.devclrn(devclrn),
50095
	.devpor(devpor),
50096
	.combout(),
50097
	.regout(idehiin[5]),
50098
	.cout(),
50099
	.cascout());
50100
// synopsys translate_off
50101
defparam \idehiin[5]~I .clock_enable_mode = "true";
50102
defparam \idehiin[5]~I .lut_mask = "ff00";
50103
defparam \idehiin[5]~I .operation_mode = "normal";
50104
defparam \idehiin[5]~I .output_mode = "reg_only";
50105
defparam \idehiin[5]~I .packed_mode = "false";
50106
// synopsys translate_on
50107
 
50108
// atom is at LC8_H8
50109
flex10ke_lcell \Selector2~1464_I (
50110
// Equation(s):
50111
// \Selector2~1464  = !\a~dataout [2] & \a~dataout [0] & (idehiin[5] # !\Equal19~29 )
50112
 
50113
	.dataa(a_2),
50114
	.datab(\Equal19~29 ),
50115
	.datac(idehiin[5]),
50116
	.datad(a_0),
50117
	.aclr(gnd),
50118
	.aload(gnd),
50119
	.clk(gnd),
50120
	.cin(gnd),
50121
	.cascin(vcc),
50122
	.devclrn(devclrn),
50123
	.devpor(devpor),
50124
	.combout(\Selector2~1464 ),
50125
	.regout(),
50126
	.cout(),
50127
	.cascout());
50128
// synopsys translate_off
50129
defparam \Selector2~1464_I .clock_enable_mode = "false";
50130
defparam \Selector2~1464_I .lut_mask = "5100";
50131
defparam \Selector2~1464_I .operation_mode = "normal";
50132
defparam \Selector2~1464_I .output_mode = "comb_only";
50133
defparam \Selector2~1464_I .packed_mode = "false";
50134
// synopsys translate_on
50135
 
50136
// atom is at LC5_H11
50137
flex10ke_lcell \Selector2~1462_I (
50138
// Equation(s):
50139
// \Selector2~1462  = !\a~dataout [7] & !\a~dataout [5] & dout_5 # !\Selector7~1873 
50140
 
50141
	.dataa(\Selector7~1873 ),
50142
	.datab(a_7),
50143
	.datac(a_5),
50144
	.datad(dout_5),
50145
	.aclr(gnd),
50146
	.aload(gnd),
50147
	.clk(gnd),
50148
	.cin(gnd),
50149
	.cascin(vcc),
50150
	.devclrn(devclrn),
50151
	.devpor(devpor),
50152
	.combout(\Selector2~1462 ),
50153
	.regout(),
50154
	.cout(),
50155
	.cascout());
50156
// synopsys translate_off
50157
defparam \Selector2~1462_I .clock_enable_mode = "false";
50158
defparam \Selector2~1462_I .lut_mask = "5755";
50159
defparam \Selector2~1462_I .operation_mode = "normal";
50160
defparam \Selector2~1462_I .output_mode = "comb_only";
50161
defparam \Selector2~1462_I .packed_mode = "false";
50162
// synopsys translate_on
50163
 
50164
// atom is at LC6_H11
50165
flex10ke_lcell \Selector2~1463_I (
50166
// Equation(s):
50167
// \Selector2~1463  = \Selector2~1462  # \Equal0~44  & (wait_reg_5 # !\always6~2 )
50168
 
50169
	.dataa(\always6~2 ),
50170
	.datab(wait_reg_5),
50171
	.datac(\Equal0~44 ),
50172
	.datad(\Selector2~1462 ),
50173
	.aclr(gnd),
50174
	.aload(gnd),
50175
	.clk(gnd),
50176
	.cin(gnd),
50177
	.cascin(vcc),
50178
	.devclrn(devclrn),
50179
	.devpor(devpor),
50180
	.combout(\Selector2~1463 ),
50181
	.regout(),
50182
	.cout(),
50183
	.cascout());
50184
// synopsys translate_off
50185
defparam \Selector2~1463_I .clock_enable_mode = "false";
50186
defparam \Selector2~1463_I .lut_mask = "ffd0";
50187
defparam \Selector2~1463_I .operation_mode = "normal";
50188
defparam \Selector2~1463_I .output_mode = "comb_only";
50189
defparam \Selector2~1463_I .packed_mode = "false";
50190
// synopsys translate_on
50191
 
50192
// atom is at LC2_H8
50193
flex10ke_lcell \Selector2~1465_I (
50194
// Equation(s):
50195
// \Selector2~1482  = !\Selector2~1464  & (\a~dataout [1] & !\Selector2~1463  & \a~dataout [2] # !\a~dataout [1] & (!\a~dataout [2]))
50196
 
50197
	.dataa(\Selector2~1464 ),
50198
	.datab(\Selector2~1463 ),
50199
	.datac(a_1),
50200
	.datad(a_2),
50201
	.aclr(gnd),
50202
	.aload(gnd),
50203
	.clk(gnd),
50204
	.cin(gnd),
50205
	.cascin(vcc),
50206
	.devclrn(devclrn),
50207
	.devpor(devpor),
50208
	.combout(\Selector2~1465 ),
50209
	.regout(),
50210
	.cout(),
50211
	.cascout(\Selector2~1482 ));
50212
// synopsys translate_off
50213
defparam \Selector2~1465_I .clock_enable_mode = "false";
50214
defparam \Selector2~1465_I .lut_mask = "1005";
50215
defparam \Selector2~1465_I .operation_mode = "normal";
50216
defparam \Selector2~1465_I .output_mode = "none";
50217
defparam \Selector2~1465_I .packed_mode = "false";
50218
// synopsys translate_on
50219
 
50220
// atom is at LC6_H34
50221
flex10ke_lcell \idehiin[6]~I (
50222
// Equation(s):
50223
// idehiin[6] = DFFEA(\ide_d[14]~1 , GLOBAL(\clkz_in~dataout ), , , \idein_lo_rd~25 , , )
50224
 
50225
	.dataa(\idein_lo_rd~25 ),
50226
	.datab(vcc),
50227
	.datac(vcc),
50228
	.datad(ide_d_14),
50229
	.aclr(gnd),
50230
	.aload(gnd),
50231
	.clk(zclk),
50232
	.cin(gnd),
50233
	.cascin(vcc),
50234
	.devclrn(devclrn),
50235
	.devpor(devpor),
50236
	.combout(),
50237
	.regout(idehiin[6]),
50238
	.cout(),
50239
	.cascout());
50240
// synopsys translate_off
50241
defparam \idehiin[6]~I .clock_enable_mode = "true";
50242
defparam \idehiin[6]~I .lut_mask = "ff00";
50243
defparam \idehiin[6]~I .operation_mode = "normal";
50244
defparam \idehiin[6]~I .output_mode = "reg_only";
50245
defparam \idehiin[6]~I .packed_mode = "false";
50246
// synopsys translate_on
50247
 
50248
// atom is at LC7_H34
50249
flex10ke_lcell \Selector1~1396_I (
50250
// Equation(s):
50251
// \Selector1~1396  = !\a~dataout [2] & \a~dataout [0] & (idehiin[6] # !\Equal19~29 )
50252
 
50253
	.dataa(a_2),
50254
	.datab(\Equal19~29 ),
50255
	.datac(idehiin[6]),
50256
	.datad(a_0),
50257
	.aclr(gnd),
50258
	.aload(gnd),
50259
	.clk(gnd),
50260
	.cin(gnd),
50261
	.cascin(vcc),
50262
	.devclrn(devclrn),
50263
	.devpor(devpor),
50264
	.combout(\Selector1~1396 ),
50265
	.regout(),
50266
	.cout(),
50267
	.cascout());
50268
// synopsys translate_off
50269
defparam \Selector1~1396_I .clock_enable_mode = "false";
50270
defparam \Selector1~1396_I .lut_mask = "5100";
50271
defparam \Selector1~1396_I .operation_mode = "normal";
50272
defparam \Selector1~1396_I .output_mode = "comb_only";
50273
defparam \Selector1~1396_I .packed_mode = "false";
50274
// synopsys translate_on
50275
 
50276
// atom is at LC8_H11
50277
flex10ke_lcell \Selector1~1394_I (
50278
// Equation(s):
50279
// \Selector1~1394  = !\a~dataout [7] & !\a~dataout [5] & dout_6 # !\Selector7~1873 
50280
 
50281
	.dataa(\Selector7~1873 ),
50282
	.datab(a_7),
50283
	.datac(a_5),
50284
	.datad(dout_6),
50285
	.aclr(gnd),
50286
	.aload(gnd),
50287
	.clk(gnd),
50288
	.cin(gnd),
50289
	.cascin(vcc),
50290
	.devclrn(devclrn),
50291
	.devpor(devpor),
50292
	.combout(\Selector1~1394 ),
50293
	.regout(),
50294
	.cout(),
50295
	.cascout());
50296
// synopsys translate_off
50297
defparam \Selector1~1394_I .clock_enable_mode = "false";
50298
defparam \Selector1~1394_I .lut_mask = "5755";
50299
defparam \Selector1~1394_I .operation_mode = "normal";
50300
defparam \Selector1~1394_I .output_mode = "comb_only";
50301
defparam \Selector1~1394_I .packed_mode = "false";
50302
// synopsys translate_on
50303
 
50304
// atom is at LC2_H11
50305
flex10ke_lcell \Selector1~1395_I (
50306
// Equation(s):
50307
// \Selector1~1395  = \Selector1~1394  # \Equal0~44  & (wait_reg_6 # !\always6~2 )
50308
 
50309
	.dataa(\always6~2 ),
50310
	.datab(wait_reg_6),
50311
	.datac(\Equal0~44 ),
50312
	.datad(\Selector1~1394 ),
50313
	.aclr(gnd),
50314
	.aload(gnd),
50315
	.clk(gnd),
50316
	.cin(gnd),
50317
	.cascin(vcc),
50318
	.devclrn(devclrn),
50319
	.devpor(devpor),
50320
	.combout(\Selector1~1395 ),
50321
	.regout(),
50322
	.cout(),
50323
	.cascout());
50324
// synopsys translate_off
50325
defparam \Selector1~1395_I .clock_enable_mode = "false";
50326
defparam \Selector1~1395_I .lut_mask = "ffd0";
50327
defparam \Selector1~1395_I .operation_mode = "normal";
50328
defparam \Selector1~1395_I .output_mode = "comb_only";
50329
defparam \Selector1~1395_I .packed_mode = "false";
50330
// synopsys translate_on
50331
 
50332
// atom is at LC1_H34
50333
flex10ke_lcell \Selector1~1397_I (
50334
// Equation(s):
50335
// \Selector1~1416  = !\Selector1~1396  & (\a~dataout [1] & !\Selector1~1395  & \a~dataout [2] # !\a~dataout [1] & (!\a~dataout [2]))
50336
 
50337
	.dataa(\Selector1~1396 ),
50338
	.datab(\Selector1~1395 ),
50339
	.datac(a_1),
50340
	.datad(a_2),
50341
	.aclr(gnd),
50342
	.aload(gnd),
50343
	.clk(gnd),
50344
	.cin(gnd),
50345
	.cascin(vcc),
50346
	.devclrn(devclrn),
50347
	.devpor(devpor),
50348
	.combout(\Selector1~1397 ),
50349
	.regout(),
50350
	.cout(),
50351
	.cascout(\Selector1~1416 ));
50352
// synopsys translate_off
50353
defparam \Selector1~1397_I .clock_enable_mode = "false";
50354
defparam \Selector1~1397_I .lut_mask = "1005";
50355
defparam \Selector1~1397_I .operation_mode = "normal";
50356
defparam \Selector1~1397_I .output_mode = "none";
50357
defparam \Selector1~1397_I .packed_mode = "false";
50358
// synopsys translate_on
50359
 
50360
// atom is at LC7_H23
50361
flex10ke_lcell \idehiin[7]~I (
50362
// Equation(s):
50363
// idehiin[7] = DFFEA(\ide_d[15]~0 , GLOBAL(\clkz_in~dataout ), , , \idein_lo_rd~25 , , )
50364
 
50365
	.dataa(\idein_lo_rd~25 ),
50366
	.datab(vcc),
50367
	.datac(vcc),
50368
	.datad(ide_d_15),
50369
	.aclr(gnd),
50370
	.aload(gnd),
50371
	.clk(zclk),
50372
	.cin(gnd),
50373
	.cascin(vcc),
50374
	.devclrn(devclrn),
50375
	.devpor(devpor),
50376
	.combout(),
50377
	.regout(idehiin[7]),
50378
	.cout(),
50379
	.cascout());
50380
// synopsys translate_off
50381
defparam \idehiin[7]~I .clock_enable_mode = "true";
50382
defparam \idehiin[7]~I .lut_mask = "ff00";
50383
defparam \idehiin[7]~I .operation_mode = "normal";
50384
defparam \idehiin[7]~I .output_mode = "reg_only";
50385
defparam \idehiin[7]~I .packed_mode = "false";
50386
// synopsys translate_on
50387
 
50388
// atom is at LC8_H23
50389
flex10ke_lcell \Selector0~1466_I (
50390
// Equation(s):
50391
// \Selector0~1466  = !\a~dataout [2] & \a~dataout [0] & (idehiin[7] # !\Equal19~29 )
50392
 
50393
	.dataa(a_2),
50394
	.datab(\Equal19~29 ),
50395
	.datac(idehiin[7]),
50396
	.datad(a_0),
50397
	.aclr(gnd),
50398
	.aload(gnd),
50399
	.clk(gnd),
50400
	.cin(gnd),
50401
	.cascin(vcc),
50402
	.devclrn(devclrn),
50403
	.devpor(devpor),
50404
	.combout(\Selector0~1466 ),
50405
	.regout(),
50406
	.cout(),
50407
	.cascout());
50408
// synopsys translate_off
50409
defparam \Selector0~1466_I .clock_enable_mode = "false";
50410
defparam \Selector0~1466_I .lut_mask = "5100";
50411
defparam \Selector0~1466_I .operation_mode = "normal";
50412
defparam \Selector0~1466_I .output_mode = "comb_only";
50413
defparam \Selector0~1466_I .packed_mode = "false";
50414
// synopsys translate_on
50415
 
50416
// atom is at LC8_H6
50417
flex10ke_lcell \Selector0~1464_I (
50418
// Equation(s):
50419
// \Selector0~1464  = !\a~dataout [7] & !\a~dataout [5] & dout_7 # !\Selector7~1873 
50420
 
50421
	.dataa(\Selector7~1873 ),
50422
	.datab(a_7),
50423
	.datac(a_5),
50424
	.datad(dout_7),
50425
	.aclr(gnd),
50426
	.aload(gnd),
50427
	.clk(gnd),
50428
	.cin(gnd),
50429
	.cascin(vcc),
50430
	.devclrn(devclrn),
50431
	.devpor(devpor),
50432
	.combout(\Selector0~1464 ),
50433
	.regout(),
50434
	.cout(),
50435
	.cascout());
50436
// synopsys translate_off
50437
defparam \Selector0~1464_I .clock_enable_mode = "false";
50438
defparam \Selector0~1464_I .lut_mask = "5755";
50439
defparam \Selector0~1464_I .operation_mode = "normal";
50440
defparam \Selector0~1464_I .output_mode = "comb_only";
50441
defparam \Selector0~1464_I .packed_mode = "false";
50442
// synopsys translate_on
50443
 
50444
// atom is at LC5_H6
50445
flex10ke_lcell \Selector0~1465_I (
50446
// Equation(s):
50447
// \Selector0~1465  = \Selector0~1464  # \Equal0~44  & (wait_reg_7 # !\always6~2 )
50448
 
50449
	.dataa(\always6~2 ),
50450
	.datab(wait_reg_7),
50451
	.datac(\Equal0~44 ),
50452
	.datad(\Selector0~1464 ),
50453
	.aclr(gnd),
50454
	.aload(gnd),
50455
	.clk(gnd),
50456
	.cin(gnd),
50457
	.cascin(vcc),
50458
	.devclrn(devclrn),
50459
	.devpor(devpor),
50460
	.combout(\Selector0~1465 ),
50461
	.regout(),
50462
	.cout(),
50463
	.cascout());
50464
// synopsys translate_off
50465
defparam \Selector0~1465_I .clock_enable_mode = "false";
50466
defparam \Selector0~1465_I .lut_mask = "ffd0";
50467
defparam \Selector0~1465_I .operation_mode = "normal";
50468
defparam \Selector0~1465_I .output_mode = "comb_only";
50469
defparam \Selector0~1465_I .packed_mode = "false";
50470
// synopsys translate_on
50471
 
50472
// atom is at LC3_H23
50473
flex10ke_lcell \Selector0~1467_I (
50474
// Equation(s):
50475
// \Selector0~1486  = !\Selector0~1466  & (\a~dataout [1] & !\Selector0~1465  & \a~dataout [2] # !\a~dataout [1] & (!\a~dataout [2]))
50476
 
50477
	.dataa(\Selector0~1466 ),
50478
	.datab(\Selector0~1465 ),
50479
	.datac(a_1),
50480
	.datad(a_2),
50481
	.aclr(gnd),
50482
	.aload(gnd),
50483
	.clk(gnd),
50484
	.cin(gnd),
50485
	.cascin(vcc),
50486
	.devclrn(devclrn),
50487
	.devpor(devpor),
50488
	.combout(\Selector0~1467 ),
50489
	.regout(),
50490
	.cout(),
50491
	.cascout(\Selector0~1486 ));
50492
// synopsys translate_off
50493
defparam \Selector0~1467_I .clock_enable_mode = "false";
50494
defparam \Selector0~1467_I .lut_mask = "1005";
50495
defparam \Selector0~1467_I .operation_mode = "normal";
50496
defparam \Selector0~1467_I .output_mode = "none";
50497
defparam \Selector0~1467_I .packed_mode = "false";
50498
// synopsys translate_on
50499
 
50500
// atom is at LC3_H28
50501
flex10ke_lcell \Selector6~1383_I (
50502
// Equation(s):
50503
// \Selector6~1383  = wait_reg_1 # !\a~dataout [0] # !\a~dataout [1]
50504
 
50505
	.dataa(vcc),
50506
	.datab(a_1),
50507
	.datac(a_0),
50508
	.datad(wait_reg_1),
50509
	.aclr(gnd),
50510
	.aload(gnd),
50511
	.clk(gnd),
50512
	.cin(gnd),
50513
	.cascin(vcc),
50514
	.devclrn(devclrn),
50515
	.devpor(devpor),
50516
	.combout(\Selector6~1383 ),
50517
	.regout(),
50518
	.cout(),
50519
	.cascout());
50520
// synopsys translate_off
50521
defparam \Selector6~1383_I .clock_enable_mode = "false";
50522
defparam \Selector6~1383_I .lut_mask = "ff3f";
50523
defparam \Selector6~1383_I .operation_mode = "normal";
50524
defparam \Selector6~1383_I .output_mode = "comb_only";
50525
defparam \Selector6~1383_I .packed_mode = "false";
50526
// synopsys translate_on
50527
 
50528
// atom is at LC1_H28
50529
flex10ke_lcell \Selector6~1384_I (
50530
// Equation(s):
50531
// \Selector6~1419  = \a~dataout [5] & !\Selector6~1383  # !\a~dataout [5] & (!\ide_d[1]~14  & \Selector7~1856 )
50532
 
50533
	.dataa(\Selector6~1383 ),
50534
	.datab(ide_d_1),
50535
	.datac(a_5),
50536
	.datad(\Selector7~1856 ),
50537
	.aclr(gnd),
50538
	.aload(gnd),
50539
	.clk(gnd),
50540
	.cin(gnd),
50541
	.cascin(vcc),
50542
	.devclrn(devclrn),
50543
	.devpor(devpor),
50544
	.combout(\Selector6~1384 ),
50545
	.regout(),
50546
	.cout(),
50547
	.cascout(\Selector6~1419 ));
50548
// synopsys translate_off
50549
defparam \Selector6~1384_I .clock_enable_mode = "false";
50550
defparam \Selector6~1384_I .lut_mask = "5350";
50551
defparam \Selector6~1384_I .operation_mode = "normal";
50552
defparam \Selector6~1384_I .output_mode = "none";
50553
defparam \Selector6~1384_I .packed_mode = "false";
50554
// synopsys translate_on
50555
 
50556
// atom is at LC7_H28
50557
flex10ke_lcell \Selector5~1383_I (
50558
// Equation(s):
50559
// \Selector5~1383  = wait_reg_2 # !\a~dataout [0] # !\a~dataout [1]
50560
 
50561
	.dataa(vcc),
50562
	.datab(a_1),
50563
	.datac(a_0),
50564
	.datad(wait_reg_2),
50565
	.aclr(gnd),
50566
	.aload(gnd),
50567
	.clk(gnd),
50568
	.cin(gnd),
50569
	.cascin(vcc),
50570
	.devclrn(devclrn),
50571
	.devpor(devpor),
50572
	.combout(\Selector5~1383 ),
50573
	.regout(),
50574
	.cout(),
50575
	.cascout());
50576
// synopsys translate_off
50577
defparam \Selector5~1383_I .clock_enable_mode = "false";
50578
defparam \Selector5~1383_I .lut_mask = "ff3f";
50579
defparam \Selector5~1383_I .operation_mode = "normal";
50580
defparam \Selector5~1383_I .output_mode = "comb_only";
50581
defparam \Selector5~1383_I .packed_mode = "false";
50582
// synopsys translate_on
50583
 
50584
// atom is at LC5_H28
50585
flex10ke_lcell \Selector5~1384_I (
50586
// Equation(s):
50587
// \Selector5~1419  = \a~dataout [5] & !\Selector5~1383  # !\a~dataout [5] & (!\ide_d[2]~13  & \Selector7~1856 )
50588
 
50589
	.dataa(\Selector5~1383 ),
50590
	.datab(ide_d_2),
50591
	.datac(a_5),
50592
	.datad(\Selector7~1856 ),
50593
	.aclr(gnd),
50594
	.aload(gnd),
50595
	.clk(gnd),
50596
	.cin(gnd),
50597
	.cascin(vcc),
50598
	.devclrn(devclrn),
50599
	.devpor(devpor),
50600
	.combout(\Selector5~1384 ),
50601
	.regout(),
50602
	.cout(),
50603
	.cascout(\Selector5~1419 ));
50604
// synopsys translate_off
50605
defparam \Selector5~1384_I .clock_enable_mode = "false";
50606
defparam \Selector5~1384_I .lut_mask = "5350";
50607
defparam \Selector5~1384_I .operation_mode = "normal";
50608
defparam \Selector5~1384_I .output_mode = "none";
50609
defparam \Selector5~1384_I .packed_mode = "false";
50610
// synopsys translate_on
50611
 
50612
// atom is at LC4_H12
50613
flex10ke_lcell \Selector4~1383_I (
50614
// Equation(s):
50615
// \Selector4~1383  = wait_reg_3 # !\a~dataout [0] # !\a~dataout [1]
50616
 
50617
	.dataa(vcc),
50618
	.datab(a_1),
50619
	.datac(a_0),
50620
	.datad(wait_reg_3),
50621
	.aclr(gnd),
50622
	.aload(gnd),
50623
	.clk(gnd),
50624
	.cin(gnd),
50625
	.cascin(vcc),
50626
	.devclrn(devclrn),
50627
	.devpor(devpor),
50628
	.combout(\Selector4~1383 ),
50629
	.regout(),
50630
	.cout(),
50631
	.cascout());
50632
// synopsys translate_off
50633
defparam \Selector4~1383_I .clock_enable_mode = "false";
50634
defparam \Selector4~1383_I .lut_mask = "ff3f";
50635
defparam \Selector4~1383_I .operation_mode = "normal";
50636
defparam \Selector4~1383_I .output_mode = "comb_only";
50637
defparam \Selector4~1383_I .packed_mode = "false";
50638
// synopsys translate_on
50639
 
50640
// atom is at LC7_H14
50641
flex10ke_lcell \Selector4~1384_I (
50642
// Equation(s):
50643
// \Selector4~1419  = \a~dataout [5] & !\Selector4~1383  # !\a~dataout [5] & (!\ide_d[3]~12  & \Selector7~1856 )
50644
 
50645
	.dataa(\Selector4~1383 ),
50646
	.datab(ide_d_3),
50647
	.datac(a_5),
50648
	.datad(\Selector7~1856 ),
50649
	.aclr(gnd),
50650
	.aload(gnd),
50651
	.clk(gnd),
50652
	.cin(gnd),
50653
	.cascin(vcc),
50654
	.devclrn(devclrn),
50655
	.devpor(devpor),
50656
	.combout(\Selector4~1384 ),
50657
	.regout(),
50658
	.cout(),
50659
	.cascout(\Selector4~1419 ));
50660
// synopsys translate_off
50661
defparam \Selector4~1384_I .clock_enable_mode = "false";
50662
defparam \Selector4~1384_I .lut_mask = "5350";
50663
defparam \Selector4~1384_I .operation_mode = "normal";
50664
defparam \Selector4~1384_I .output_mode = "none";
50665
defparam \Selector4~1384_I .packed_mode = "false";
50666
// synopsys translate_on
50667
 
50668
// atom is at LC3_H12
50669
flex10ke_lcell \Selector3~1383_I (
50670
// Equation(s):
50671
// \Selector3~1383  = wait_reg_4 # !\a~dataout [0] # !\a~dataout [1]
50672
 
50673
	.dataa(vcc),
50674
	.datab(a_1),
50675
	.datac(a_0),
50676
	.datad(wait_reg_4),
50677
	.aclr(gnd),
50678
	.aload(gnd),
50679
	.clk(gnd),
50680
	.cin(gnd),
50681
	.cascin(vcc),
50682
	.devclrn(devclrn),
50683
	.devpor(devpor),
50684
	.combout(\Selector3~1383 ),
50685
	.regout(),
50686
	.cout(),
50687
	.cascout());
50688
// synopsys translate_off
50689
defparam \Selector3~1383_I .clock_enable_mode = "false";
50690
defparam \Selector3~1383_I .lut_mask = "ff3f";
50691
defparam \Selector3~1383_I .operation_mode = "normal";
50692
defparam \Selector3~1383_I .output_mode = "comb_only";
50693
defparam \Selector3~1383_I .packed_mode = "false";
50694
// synopsys translate_on
50695
 
50696
// atom is at LC1_H27
50697
flex10ke_lcell \Selector3~1384_I (
50698
// Equation(s):
50699
// \Selector3~1419  = \a~dataout [5] & !\Selector3~1383  # !\a~dataout [5] & (!\ide_d[4]~11  & \Selector7~1856 )
50700
 
50701
	.dataa(\Selector3~1383 ),
50702
	.datab(ide_d_4),
50703
	.datac(a_5),
50704
	.datad(\Selector7~1856 ),
50705
	.aclr(gnd),
50706
	.aload(gnd),
50707
	.clk(gnd),
50708
	.cin(gnd),
50709
	.cascin(vcc),
50710
	.devclrn(devclrn),
50711
	.devpor(devpor),
50712
	.combout(\Selector3~1384 ),
50713
	.regout(),
50714
	.cout(),
50715
	.cascout(\Selector3~1419 ));
50716
// synopsys translate_off
50717
defparam \Selector3~1384_I .clock_enable_mode = "false";
50718
defparam \Selector3~1384_I .lut_mask = "5350";
50719
defparam \Selector3~1384_I .operation_mode = "normal";
50720
defparam \Selector3~1384_I .output_mode = "none";
50721
defparam \Selector3~1384_I .packed_mode = "false";
50722
// synopsys translate_on
50723
 
50724
// atom is at LC3_H14
50725
flex10ke_lcell \Selector2~1460_I (
50726
// Equation(s):
50727
// \Selector2~1460  = wait_reg_5 # !\a~dataout [0] # !\a~dataout [1]
50728
 
50729
	.dataa(vcc),
50730
	.datab(a_1),
50731
	.datac(a_0),
50732
	.datad(wait_reg_5),
50733
	.aclr(gnd),
50734
	.aload(gnd),
50735
	.clk(gnd),
50736
	.cin(gnd),
50737
	.cascin(vcc),
50738
	.devclrn(devclrn),
50739
	.devpor(devpor),
50740
	.combout(\Selector2~1460 ),
50741
	.regout(),
50742
	.cout(),
50743
	.cascout());
50744
// synopsys translate_off
50745
defparam \Selector2~1460_I .clock_enable_mode = "false";
50746
defparam \Selector2~1460_I .lut_mask = "ff3f";
50747
defparam \Selector2~1460_I .operation_mode = "normal";
50748
defparam \Selector2~1460_I .output_mode = "comb_only";
50749
defparam \Selector2~1460_I .packed_mode = "false";
50750
// synopsys translate_on
50751
 
50752
// atom is at LC1_H14
50753
flex10ke_lcell \Selector2~1461_I (
50754
// Equation(s):
50755
// \Selector2~1477  = \a~dataout [5] & !\Selector2~1460  # !\a~dataout [5] & (!\ide_d[5]~10  & \Selector7~1856 )
50756
 
50757
	.dataa(\Selector2~1460 ),
50758
	.datab(ide_d_5),
50759
	.datac(a_5),
50760
	.datad(\Selector7~1856 ),
50761
	.aclr(gnd),
50762
	.aload(gnd),
50763
	.clk(gnd),
50764
	.cin(gnd),
50765
	.cascin(vcc),
50766
	.devclrn(devclrn),
50767
	.devpor(devpor),
50768
	.combout(\Selector2~1461 ),
50769
	.regout(),
50770
	.cout(),
50771
	.cascout(\Selector2~1477 ));
50772
// synopsys translate_off
50773
defparam \Selector2~1461_I .clock_enable_mode = "false";
50774
defparam \Selector2~1461_I .lut_mask = "5350";
50775
defparam \Selector2~1461_I .operation_mode = "normal";
50776
defparam \Selector2~1461_I .output_mode = "none";
50777
defparam \Selector2~1461_I .packed_mode = "false";
50778
// synopsys translate_on
50779
 
50780
// atom is at LC1_H36
50781
flex10ke_lcell \Selector1~1392_I (
50782
// Equation(s):
50783
// \Selector1~1392  = wait_reg_6 # !\a~dataout [0] # !\a~dataout [1]
50784
 
50785
	.dataa(vcc),
50786
	.datab(a_1),
50787
	.datac(a_0),
50788
	.datad(wait_reg_6),
50789
	.aclr(gnd),
50790
	.aload(gnd),
50791
	.clk(gnd),
50792
	.cin(gnd),
50793
	.cascin(vcc),
50794
	.devclrn(devclrn),
50795
	.devpor(devpor),
50796
	.combout(\Selector1~1392 ),
50797
	.regout(),
50798
	.cout(),
50799
	.cascout());
50800
// synopsys translate_off
50801
defparam \Selector1~1392_I .clock_enable_mode = "false";
50802
defparam \Selector1~1392_I .lut_mask = "ff3f";
50803
defparam \Selector1~1392_I .operation_mode = "normal";
50804
defparam \Selector1~1392_I .output_mode = "comb_only";
50805
defparam \Selector1~1392_I .packed_mode = "false";
50806
// synopsys translate_on
50807
 
50808
// atom is at LC4_H36
50809
flex10ke_lcell \Selector1~1393_I (
50810
// Equation(s):
50811
// \Selector1~1411  = \a~dataout [5] & !\Selector1~1392  # !\a~dataout [5] & (!\ide_d[6]~9  & \Selector7~1856 )
50812
 
50813
	.dataa(\Selector1~1392 ),
50814
	.datab(ide_d_6),
50815
	.datac(a_5),
50816
	.datad(\Selector7~1856 ),
50817
	.aclr(gnd),
50818
	.aload(gnd),
50819
	.clk(gnd),
50820
	.cin(gnd),
50821
	.cascin(vcc),
50822
	.devclrn(devclrn),
50823
	.devpor(devpor),
50824
	.combout(\Selector1~1393 ),
50825
	.regout(),
50826
	.cout(),
50827
	.cascout(\Selector1~1411 ));
50828
// synopsys translate_off
50829
defparam \Selector1~1393_I .clock_enable_mode = "false";
50830
defparam \Selector1~1393_I .lut_mask = "5350";
50831
defparam \Selector1~1393_I .operation_mode = "normal";
50832
defparam \Selector1~1393_I .output_mode = "none";
50833
defparam \Selector1~1393_I .packed_mode = "false";
50834
// synopsys translate_on
50835
 
50836
endmodule
50837
 
50838
module zwait (
50839
	rst_out_n,
50840
	waits_1,
50841
	waits_0,
50842
	WideNor0,
50843
	spics_n_sync_1,
50844
	spics_n_sync_2,
50845
	sel_waitreg,
50846
	wait_start_comport,
50847
	wait_start_gluclock,
50848
	WideNor01,
50849
	devpor,
50850
	devclrn,
50851
	devoe);
50852
input 	rst_out_n;
50853
output 	waits_1;
50854
output 	waits_0;
50855
output 	WideNor0;
50856
input 	spics_n_sync_1;
50857
input 	spics_n_sync_2;
50858
input 	sel_waitreg;
50859
input 	wait_start_comport;
50860
input 	wait_start_gluclock;
50861
output 	WideNor01;
50862
input 	devpor;
50863
input 	devclrn;
50864
input 	devoe;
50865
 
50866
wire gnd = 1'b0;
50867
wire vcc = 1'b1;
50868
 
50869
wire wait_off_n;
50870
 
50871
 
50872
// atom is at LC6_D3
50873
flex10ke_lcell \waits[1]~I (
50874
// Equation(s):
50875
// waits_1 = DFFEA(VCC, wait_start_comport, !wait_off_n, , , , )
50876
 
50877
	.dataa(vcc),
50878
	.datab(vcc),
50879
	.datac(vcc),
50880
	.datad(vcc),
50881
	.aclr(wait_off_n),
50882
	.aload(gnd),
50883
	.clk(wait_start_comport),
50884
	.cin(gnd),
50885
	.cascin(vcc),
50886
	.devclrn(devclrn),
50887
	.devpor(devpor),
50888
	.combout(),
50889
	.regout(waits_1),
50890
	.cout(),
50891
	.cascout());
50892
// synopsys translate_off
50893
defparam \waits[1]~I .clock_enable_mode = "false";
50894
defparam \waits[1]~I .lut_mask = "ffff";
50895
defparam \waits[1]~I .operation_mode = "normal";
50896
defparam \waits[1]~I .output_mode = "reg_only";
50897
defparam \waits[1]~I .packed_mode = "false";
50898
// synopsys translate_on
50899
 
50900
// atom is at LC8_D3
50901
flex10ke_lcell \waits[0]~I (
50902
// Equation(s):
50903
// waits_0 = DFFEA(VCC, wait_start_gluclock, !wait_off_n, , , , )
50904
 
50905
	.dataa(vcc),
50906
	.datab(vcc),
50907
	.datac(vcc),
50908
	.datad(vcc),
50909
	.aclr(wait_off_n),
50910
	.aload(gnd),
50911
	.clk(wait_start_gluclock),
50912
	.cin(gnd),
50913
	.cascin(vcc),
50914
	.devclrn(devclrn),
50915
	.devpor(devpor),
50916
	.combout(),
50917
	.regout(waits_0),
50918
	.cout(),
50919
	.cascout());
50920
// synopsys translate_off
50921
defparam \waits[0]~I .clock_enable_mode = "false";
50922
defparam \waits[0]~I .lut_mask = "ffff";
50923
defparam \waits[0]~I .operation_mode = "normal";
50924
defparam \waits[0]~I .output_mode = "reg_only";
50925
defparam \waits[0]~I .packed_mode = "false";
50926
// synopsys translate_on
50927
 
50928
// atom is at LC4_D3
50929
flex10ke_lcell \WideNor0~I (
50930
// Equation(s):
50931
// WideNor0 = !waits_0 & !waits_1
50932
 
50933
	.dataa(vcc),
50934
	.datab(vcc),
50935
	.datac(waits_0),
50936
	.datad(waits_1),
50937
	.aclr(gnd),
50938
	.aload(gnd),
50939
	.clk(gnd),
50940
	.cin(gnd),
50941
	.cascin(vcc),
50942
	.devclrn(devclrn),
50943
	.devpor(devpor),
50944
	.combout(WideNor0),
50945
	.regout(),
50946
	.cout(),
50947
	.cascout());
50948
// synopsys translate_off
50949
defparam \WideNor0~I .clock_enable_mode = "false";
50950
defparam \WideNor0~I .lut_mask = "000f";
50951
defparam \WideNor0~I .operation_mode = "normal";
50952
defparam \WideNor0~I .output_mode = "comb_only";
50953
defparam \WideNor0~I .packed_mode = "false";
50954
// synopsys translate_on
50955
 
50956
// atom is at LC1_D3
50957
flex10ke_lcell \WideNor0~1_I (
50958
// Equation(s):
50959
// WideNor01 = !waits_0 & !waits_1
50960
 
50961
	.dataa(vcc),
50962
	.datab(vcc),
50963
	.datac(waits_0),
50964
	.datad(waits_1),
50965
	.aclr(gnd),
50966
	.aload(gnd),
50967
	.clk(gnd),
50968
	.cin(gnd),
50969
	.cascin(vcc),
50970
	.devclrn(devclrn),
50971
	.devpor(devpor),
50972
	.combout(WideNor01),
50973
	.regout(),
50974
	.cout(),
50975
	.cascout());
50976
// synopsys translate_off
50977
defparam \WideNor0~1_I .clock_enable_mode = "false";
50978
defparam \WideNor0~1_I .lut_mask = "000f";
50979
defparam \WideNor0~1_I .operation_mode = "normal";
50980
defparam \WideNor0~1_I .output_mode = "comb_only";
50981
defparam \WideNor0~1_I .packed_mode = "false";
50982
// synopsys translate_on
50983
 
50984
// atom is at LC2_D3
50985
flex10ke_lcell \wait_off_n~I (
50986
// Equation(s):
50987
// wait_off_n = !spics_n_sync_2 & spics_n_sync_1 & sel_waitreg # !rst_out_n
50988
 
50989
	.dataa(rst_out_n),
50990
	.datab(spics_n_sync_2),
50991
	.datac(spics_n_sync_1),
50992
	.datad(sel_waitreg),
50993
	.aclr(gnd),
50994
	.aload(gnd),
50995
	.clk(gnd),
50996
	.cin(gnd),
50997
	.cascin(vcc),
50998
	.devclrn(devclrn),
50999
	.devpor(devpor),
51000
	.combout(wait_off_n),
51001
	.regout(),
51002
	.cout(),
51003
	.cascout());
51004
// synopsys translate_off
51005
defparam \wait_off_n~I .clock_enable_mode = "false";
51006
defparam \wait_off_n~I .lut_mask = "7555";
51007
defparam \wait_off_n~I .operation_mode = "normal";
51008
defparam \wait_off_n~I .output_mode = "comb_only";
51009
defparam \wait_off_n~I .packed_mode = "false";
51010
// synopsys translate_on
51011
 
51012
endmodule