Subversion Repositories ngs

Rev

Rev 3 | Blame | Compare with Previous | Last modification | View Log | Download | RSS feed | ?url?

  1. // part of NeoGS project (c) 2007-2008 NedoPC
  2. //
  3.  
  4. // ports $00-$3f are in FPGA, $40-$ff are in CPLD
  5.  
  6. module ports(
  7.  
  8.         din,  // NGS z80 cpu DATA BUS inputs
  9.         dout, // NGS z80 cpu DATA BUS outputs
  10.         busin, // direction of bus: =1 - input, =0 - output
  11.         a, // NSG z80 cpu ADDRESS BUS
  12.  
  13.         iorq_n,mreq_n,rd_n,wr_n, // NGS z80 cpu control signals
  14.  
  15.  
  16.         data_port_input, // data_port input from zxbus module (async)
  17.         data_port_output, // data_port output to zxbus module (async to zxbus, sync here)
  18.         command_port_input, // command_port input from zxbus (async)
  19.  
  20.         data_bit_input, // data_bit from zxbus module (sync)
  21.         command_bit_input, // --//-- (sync)
  22.  
  23.         data_bit_output, // output to zxbus module
  24.         command_bit_output,
  25.  
  26.         data_bit_wr, // strobes (positive) to zxbus module, synchronous
  27.         command_bit_wr,
  28.  
  29.  
  30.         mode_8chans, // mode outputs for sound_main module
  31.         mode_pan4ch, //
  32.  
  33.         mode_ramro, // mode outputs for memmap module
  34.         mode_norom,
  35.  
  36.         mode_pg0, // page registers for memmap module
  37.         mode_pg1,
  38.  
  39.  
  40.         clksel0, // clock select (output from FPGA)
  41.         clksel1,
  42.  
  43.  
  44.         snd_wrtoggle, // toggle to write sound data to sound system memory
  45.         snd_datnvol,  // whether it's for volume (=0) or for samples (=1)
  46.         snd_addr,     // address: which channel to be written (0-7)
  47.         snd_data,     // actual 8-bit data to be written
  48.  
  49.  
  50.         md_din, // mp3 data interface
  51.         md_start,
  52.         md_dreq,
  53.         md_halfspeed,
  54.  
  55.         mc_ncs, // mp3 control interface
  56.         mc_xrst,
  57.         mc_dout,
  58.         mc_din,
  59.         mc_start,
  60.         mc_halfspeed,
  61.  
  62.         sd_ncs, // SD card interface
  63.         sd_dout,
  64.         sd_din,
  65.         sd_start,
  66.         sd_det,
  67.         sd_wp,
  68.  
  69.         led, // LED control
  70.         led_toggle,
  71.  
  72.  
  73.         rst_n,
  74.  
  75.         cpu_clock // Z80 CPU clock (clk_fpga on schematics)
  76. );
  77.  
  78.  
  79.         localparam MPAG      = 6'h00;
  80.         localparam MPAGEX    = 6'h10;
  81.  
  82.         localparam ZXCMD     = 6'h01;
  83.         localparam ZXDATRD   = 6'h02;
  84.         localparam ZXDATWR   = 6'h03;
  85.         localparam ZXSTAT    = 6'h04;
  86.         localparam CLRCBIT   = 6'h05;
  87.  
  88.         localparam VOL1      = 6'h06;
  89.         localparam VOL2      = 6'h07;
  90.         localparam VOL3      = 6'h08;
  91.         localparam VOL4      = 6'h09;
  92.         localparam VOL5      = 6'h16;
  93.         localparam VOL6      = 6'h17;
  94.         localparam VOL7      = 6'h18;
  95.         localparam VOL8      = 6'h19;
  96.  
  97.         localparam DAMNPORT1 = 6'h0a;
  98.         localparam DAMNPORT2 = 6'h0b;
  99.  
  100.         localparam LEDCTR    = 6'h01;
  101.  
  102.         localparam GSCFG0    = 6'h0f;
  103.  
  104.         localparam SCTRL     = 6'h11;
  105.         localparam SSTAT     = 6'h12;
  106.  
  107.         localparam SD_SEND   = 6'h13;
  108.         localparam SD_READ   = 6'h13;
  109.         localparam SD_RSTR   = 6'h14;
  110.  
  111.         localparam MD_SEND   = 6'h14; // same as SD_RSTR!!!
  112.  
  113.         localparam MC_SEND   = 6'h15;
  114.         localparam MC_READ   = 6'h15;
  115.  
  116.  
  117.  
  118.         // inputs/outputs description
  119.  
  120.         input      [7:0] din;
  121.         output reg [7:0] dout;
  122.  
  123.         output reg busin; // =1 - dbus ins, =0 - dbus outs
  124.  
  125.         input [15:0] a;
  126.  
  127.         input iorq_n,mreq_n,rd_n,wr_n;
  128.  
  129.         input      [7:0] data_port_input;
  130.         input      [7:0] command_port_input;
  131.         output reg [7:0] data_port_output;
  132.  
  133.         input data_bit_input;
  134.         input command_bit_input;
  135.  
  136.         output reg data_bit_output;
  137.  
  138.         output reg command_bit_output;
  139.  
  140.         output reg data_bit_wr;
  141.  
  142.         output reg command_bit_wr;
  143.  
  144.         output reg mode_8chans;
  145.  
  146.         output reg mode_pan4ch;
  147.  
  148.         output reg mode_ramro;
  149.  
  150.         output reg mode_norom;
  151.  
  152.         output reg [6:0] mode_pg0;
  153.         output reg [6:0] mode_pg1;
  154.  
  155.         output reg clksel0;
  156.         output reg clksel1;
  157.  
  158.  
  159.         output reg snd_wrtoggle;
  160.         output reg snd_datnvol;
  161.         output reg [2:0] snd_addr;
  162.         output reg [7:0] snd_data;
  163.  
  164.  
  165.         input rst_n;
  166.  
  167.         input cpu_clock;
  168.  
  169.  
  170.  
  171.  
  172.         // SPI interfaces related
  173.  
  174.         // MP3 data interface
  175.         output [7:0] md_din; // data to MP3 data SPI interface
  176.  
  177.         output md_start; // start toggle for mp3 data spi
  178.  
  179.         input md_dreq; // data request from mp3 decoder
  180.  
  181.         output reg md_halfspeed;
  182.  
  183.  
  184.         // MP3 control interface
  185.         output reg mc_ncs; // nCS signal
  186.  
  187.         output reg mc_xrst; // xRESET signal
  188.  
  189.         output mc_start; // start toggle
  190.  
  191.         output reg mc_halfspeed;
  192.  
  193.         output [7:0] mc_din; // data to send
  194.  
  195.         input [7:0] mc_dout; // received data
  196.  
  197.  
  198.       // SDcard interface
  199.         output reg sd_ncs;
  200.  
  201.         output sd_start;
  202.  
  203.         output [7:0] sd_din;
  204.  
  205.         input [7:0] sd_dout;
  206.  
  207.         input sd_det;
  208.  
  209.         input sd_wp;
  210.  
  211.  
  212. // LED control register
  213.  
  214.         output reg led;
  215.         input led_toggle;
  216.  
  217.  
  218.  
  219. // internal regs & wires
  220.  
  221.         reg mode_expag; // extended paging mode register
  222.  
  223.         reg port09_bit5;
  224.  
  225.         wire port_enabled; // =1 when port address is in enabled region ($00-$3f)
  226.         wire mem_enabled; // =1 when memory mapped sound regs are addressed ($6000-$7FFF)
  227.         reg volports_enabled; // when volume ports are addressed (6-9 and $16-$19)
  228.  
  229.         reg iowrn_reg; // registered io write signal (all positive edge!)
  230.         reg iordn_reg; // --//--
  231.         reg merdn_reg; // --//--
  232.  
  233.  
  234.         reg port_wr; // synchronous positive write pulse (write from z80 to fpga regs)
  235.         reg port_rd;  // synchronous positive read pulse (read done from fpga regs to z80)
  236.  
  237.         reg memreg_rd; // when memory-mapped sound regs are read
  238.  
  239.  
  240.  
  241.  
  242.  
  243.  
  244.         wire port00_wr;   // specific write and read strobes (1 clock cycle long positive)
  245.         wire p_ledctr_wr;
  246.         wire port02_rd;
  247.         wire port03_wr;
  248.         wire port05_wrrd;
  249.         wire port09_wr;
  250.         wire port0a_wrrd;
  251.         wire port0b_wrrd;
  252.         wire port0f_wr;
  253.         wire port10_wr;
  254.  
  255.         wire p_sstat_rd;
  256.         wire p_sctrl_rd;
  257.         wire p_sctrl_wr;
  258.         wire p_sdsnd_wr;
  259.         wire p_sdrd_rd;
  260.         wire p_sdrst_rd;
  261.         wire p_mdsnd_wr;
  262.         wire p_mcsnd_wr;
  263.         wire p_mcrd_rd;
  264.  
  265.         reg [2:0] volnum; // volume register number from port address
  266.  
  267.  
  268.  
  269.  
  270. // actual code
  271.  
  272.         //enabled ports
  273.         assign port_enabled = ~(a[7] | a[6]); // $00-$3F
  274.  
  275.         //enabled mem
  276.         assign mem_enabled = (~a[15]) & a[14] & a[13]; // $6000-$7FFF
  277.  
  278.         // volume ports enabled
  279.         always @*
  280.         begin
  281.                 if( a[5:0]==VOL1 ||
  282.                     a[5:0]==VOL2 ||
  283.                     a[5:0]==VOL3 ||
  284.                     a[5:0]==VOL4 ||
  285.                     a[5:0]==VOL5 ||
  286.                     a[5:0]==VOL6 ||
  287.                     a[5:0]==VOL7 ||
  288.                     a[5:0]==VOL8 )
  289.  
  290.                         volports_enabled <= 1'b1;
  291.                 else
  292.                         volports_enabled <= 1'b0;
  293.         end
  294.  
  295.  
  296.  
  297.         //when data bus outputs
  298.         always @*
  299.         begin
  300.                 if( port_enabled && (!iorq_n) && (!rd_n) )
  301.                         busin <= 1'b0; // bus outputs
  302.                 else
  303.                         busin <= 1'b1; // bus inputs
  304.         end
  305.  
  306.  
  307.  
  308.         // rd/wr/iorq syncing in and pulses
  309.         always @(posedge cpu_clock)
  310.         begin
  311.                 iowrn_reg <= iorq_n | wr_n;
  312.                 iordn_reg <= iorq_n | rd_n;
  313.  
  314.                 if( port_enabled && (!iorq_n) && (!wr_n) && iowrn_reg )
  315.                         port_wr <= 1'b1;
  316.                 else
  317.                         port_wr <= 1'b0;
  318.  
  319.                 if( port_enabled && (!iorq_n) && (!rd_n) && iordn_reg )
  320.                         port_rd <= 1'b1;
  321.                 else
  322.                         port_rd <= 1'b0;
  323.  
  324.         end
  325.  
  326.         // mreq syncing and mem read pulse
  327.         always @(negedge cpu_clock)
  328.         begin
  329.                 merdn_reg <= mreq_n | rd_n;
  330.  
  331.                 if( mem_enabled && (!mreq_n) && (!rd_n) && merdn_reg )
  332.                         memreg_rd <= 1'b1;
  333.                 else
  334.                         memreg_rd <= 1'b0;
  335.  
  336.         end
  337.  
  338.  
  339.         // specific ports strobes
  340.         assign port00_wr   = ( a[5:0]==MPAG      && port_wr            );
  341.         assign port02_rd   = ( a[5:0]==ZXDATRD   && port_rd            );
  342.         assign port03_wr   = ( a[5:0]==ZXDATWR   && port_wr            );
  343.         assign port05_wrrd = ( a[5:0]==CLRCBIT   && (port_wr||port_rd) );
  344.         assign port09_wr   = ( a[5:0]==VOL4      && port_wr            );
  345.         assign port0a_wrrd = ( a[5:0]==DAMNPORT1 && (port_wr||port_rd) );
  346.         assign port0b_wrrd = ( a[5:0]==DAMNPORT2 && (port_wr||port_rd) );
  347.         assign port0f_wr   = ( a[5:0]==GSCFG0    && port_wr            );
  348.         assign port10_wr   = ( a[5:0]==MPAGEX    && port_wr            );
  349.  
  350.  
  351.         assign p_sctrl_rd = ( a[5:0]==SCTRL  && port_rd );
  352.         assign p_sctrl_wr = ( a[5:0]==SCTRL  && port_wr );
  353.         assign p_sstat_rd = ( a[5:0]==SSTAT  && port_rd );
  354.         assign p_sdsnd_wr = ( a[5:0]==SD_SEND && port_wr );
  355.         assign p_sdrd_rd  = ( a[5:0]==SD_READ && port_rd );
  356.         assign p_sdrst_rd = ( a[5:0]==SD_RSTR && port_rd );
  357.         assign p_mdsnd_wr = ( a[5:0]==MD_SEND && port_wr );
  358.         assign p_mcsnd_wr = ( a[5:0]==MC_SEND && port_wr );
  359.         assign p_mcrd_rd  = ( a[5:0]==MC_READ && port_rd );
  360.  
  361.         assign p_ledctr_wr = ( a[5:0]==LEDCTR && port_wr );
  362.  
  363.  
  364.  
  365.         // read from fpga to Z80
  366.         always @*
  367.         begin
  368.                 case( a[5:0] )
  369.                 ZXCMD: // command register
  370.                         dout <= command_port_input;
  371.                 ZXDATRD: // data register
  372.                         dout <= data_port_input;
  373.                 ZXSTAT: // status bits
  374.                         dout <= { data_bit_input, 6'bXXXXXX, command_bit_input };
  375.                 GSCFG0: // config register #0F
  376.                         dout <= { 1'b0, mode_pan4ch, clksel1, clksel0, mode_expag, mode_8chans, mode_ramro, mode_norom };
  377.  
  378.                 SSTAT:
  379.                         dout <= { 5'd0, sd_wp, sd_det, md_dreq };
  380.                 SCTRL:
  381.                         dout <= { 3'd0, md_halfspeed, mc_halfspeed, mc_xrst, mc_ncs, sd_ncs };
  382.                 SD_READ:
  383.                         dout <= sd_dout;
  384.                 SD_RSTR:
  385.                         dout <= sd_dout;
  386.                 MC_READ:
  387.                         dout <= mc_dout;
  388.  
  389.                 default:
  390.                         dout <= 8'bXXXXXXXX;
  391.                 endcase
  392.         end
  393.  
  394.  
  395.  
  396.  
  397.  
  398.         // write to $00 and $10 ports ++
  399.         always @(posedge cpu_clock)
  400.         begin
  401.                 if( port00_wr==1'b1 ) // port 00
  402.                 begin
  403.                         if( mode_expag==1'b0 ) // normal paging
  404.                                 mode_pg0[6:0] <= { din[5:0], 1'b0 };
  405.                         else // extended paging
  406.                                 mode_pg0[6:0] <= { din[5:0], din[7] };
  407.                 end
  408.  
  409.                 if( mode_expag==1'b0 && port00_wr==1'b1 ) // port 10 (when in normal mode, part of port 00)
  410.                         mode_pg1[6:0] <= { din[5:0], 1'b1 };
  411.                 else if( mode_expag==1'b1 && port10_wr==1'b1 )
  412.                         mode_pg1[6:0] <= { din[5:0], din[7] };
  413.         end
  414.  
  415.         // port $03 write ++
  416.         always @(posedge cpu_clock)
  417.         begin
  418.                 if( port03_wr==1'b1 )
  419.                         data_port_output <= din;
  420.         end
  421.  
  422.         // port $09 bit tracing
  423.         always @(posedge cpu_clock)
  424.         begin
  425.                 if( port09_wr==1'b1 )
  426.                         port09_bit5 <= din[5];
  427.         end
  428.  
  429.         // write and reset of port $0F ++
  430.         always @(posedge cpu_clock,negedge rst_n)
  431.         begin
  432.                 if( rst_n==1'b0 ) // reset!
  433.                         { mode_pan4ch, clksel1, clksel0, mode_expag, mode_8chans, mode_ramro, mode_norom } <= 7'b0110000;
  434.                 else // write to port
  435.                 begin
  436.                         if( port0f_wr == 1'b1 )
  437.                         begin
  438.                                 { mode_pan4ch, clksel1, clksel0, mode_expag, mode_8chans, mode_ramro, mode_norom } <= din[6:0];
  439.                         end
  440.                 end
  441.         end
  442.  
  443.         // data bit handling
  444.     always @*
  445.     begin
  446.                 case( {port02_rd,port03_wr,port0a_wrrd} )
  447.                 3'b100:
  448.                 begin
  449.                         data_bit_output <= 1'b0;
  450.                         data_bit_wr <= 1'b1;
  451.                 end
  452.  
  453.                 3'b010:
  454.                 begin
  455.                         data_bit_output <= 1'b1; // ++
  456.                         data_bit_wr <= 1'b1;
  457.                 end
  458.  
  459.                 3'b001:
  460.                 begin
  461.                         data_bit_output <= ~mode_pg0[0];
  462.                         data_bit_wr <= 1'b1;
  463.                 end
  464.  
  465.                 default:
  466.                 begin
  467.                         data_bit_output <= 1'bX;
  468.                         data_bit_wr <= 1'b0;
  469.                 end
  470.         endcase
  471.  
  472.     end
  473.  
  474.         // command bit handling
  475.         always @*
  476.         begin
  477.                 casex( {port05_wrrd,port0b_wrrd} )
  478.                 2'b10:
  479.                 begin
  480.                         command_bit_output <= 1'b0;
  481.                         command_bit_wr <= 1'b1;
  482.                 end
  483.  
  484.                 2'b01:
  485.                 begin
  486.                         command_bit_output <= port09_bit5;
  487.                         command_bit_wr <= 1'b1;
  488.                 end
  489.  
  490.                 default:
  491.                 begin
  492.                         command_bit_output <= 1'bX;
  493.                         command_bit_wr <= 1'b0;
  494.                 end
  495.                 endcase
  496.         end
  497.  
  498.         // handle data going to sound module (volume and samples values)
  499.         always @*
  500.         begin
  501.                 case( a[5:0] ) // port addresses to volume register numbers
  502.                 VOL1:
  503.                         volnum <= 3'd0;
  504.                 VOL2:
  505.                         volnum <= 3'd1;
  506.                 VOL3:
  507.                         volnum <= 3'd2;
  508.                 VOL4:
  509.                         volnum <= 3'd3;
  510.                 VOL5:
  511.                         volnum <= 3'd4;
  512.                 VOL6:
  513.                         volnum <= 3'd5;
  514.                 VOL7:
  515.                         volnum <= 3'd6;
  516.                 VOL8:
  517.                         volnum <= 3'd7;
  518.                 default:
  519.                         volnum <= 3'bXXX;
  520.                 endcase
  521.         end
  522.  
  523.         // handling itself (sending data to sound module)
  524.         always @(posedge cpu_clock)
  525.         begin
  526.                 if( memreg_rd ) // memory read - sample data write
  527.                 begin
  528.                         snd_wrtoggle <= ~snd_wrtoggle;
  529.                         snd_datnvol  <= 1'b1; // sample data
  530.  
  531.                         if( !mode_8chans ) // 4 channel mode
  532.                                 snd_addr <= { 1'b0, a[9:8] };
  533.                         else // 8 channel mode
  534.                                 snd_addr <= a[10:8];
  535.  
  536.                         snd_data <= din;
  537.                 end
  538.                 else if( volports_enabled && port_wr )
  539.                 begin
  540.                         snd_wrtoggle <= ~snd_wrtoggle;
  541.                         snd_datnvol  <= 1'b0; // volume data
  542.                         snd_addr <= volnum;
  543.                         snd_data <= din;
  544.                 end
  545.         end
  546.  
  547.  
  548.  
  549.  
  550.  
  551.  
  552.         //SPI (mp3, SD) interfaces
  553.  
  554.         assign sd_din = (a[5:0]==SD_RSTR) ? 8'hFF : din;
  555.         assign mc_din = din;
  556.         assign md_din = din;
  557.  
  558.  
  559.         assign sd_start = p_sdsnd_wr | p_sdrst_rd;
  560.         assign mc_start = p_mcsnd_wr;
  561.         assign md_start = p_mdsnd_wr;
  562.  
  563.  
  564.       always @(posedge cpu_clock, negedge rst_n)
  565.       begin
  566.                 if( !rst_n ) // async reset
  567.                 begin
  568.                         md_halfspeed <= 1'b0;
  569.                         mc_halfspeed <= 1'b1;
  570.                         mc_xrst      <= 1'b0;
  571.                         mc_ncs       <= 1'b1;
  572.                         sd_ncs       <= 1'b1;
  573.                 end
  574.                 else // clock
  575.                 begin
  576.                         if( p_sctrl_wr )
  577.                         begin
  578.                                 if( din[0] )
  579.                                         sd_ncs       <= din[7];
  580.  
  581.                                 if( din[1] )
  582.                                         mc_ncs       <= din[7];
  583.  
  584.                                 if( din[2] )
  585.                                         mc_xrst      <= din[7];
  586.  
  587.                                 if( din[3] )
  588.                                         mc_halfspeed <= din[7];
  589.  
  590.                                 if( din[4] )
  591.                                         md_halfspeed <= din[7];
  592.                         end
  593.                 end
  594.       end
  595.  
  596.  
  597.  
  598.         // LED control
  599.         always @(posedge cpu_clock, negedge rst_n)
  600.         begin
  601.                 if( !rst_n )
  602.                         led <= 1'b0;
  603.                 else
  604.                 begin
  605.                         if( p_ledctr_wr )
  606.                                 led <= din[0];
  607.                         else if( led_toggle )
  608.                                 led <= ~led;
  609.                 end
  610.  
  611.         end
  612.  
  613.  
  614.  
  615.  
  616.  
  617.  
  618. endmodule
  619.  
  620.